CMP设计分享 http://blog.sciencenet.cn/u/accsys 没有逆向思维就没有科技原创。 不自信是科技创新的大敌。

博文

限位数理论是机器计算的基石

已有 2028 次阅读 2020-1-10 22:10 |个人分类:机器计算|系统分类:科研笔记|关键词:学者| 运算器设计, 并行计算, 科技原创


不论你相信还是不相信,机器能够实现各种运算的基石,不是别的,只是简单的限位数理论和方法。

什么是限位数?就是用数码表示一定位数的数。所有限位数表示的数,使用数码的个数都一样多,无效的0不能省略。正是这种特点,使限位数可以表达一定范围的正负数,使减法运算可以用加法来完成。可以让有限位数的限位数,通过最高位添加最大数码,完成对负数的扩充,从而得到精确的机器计算方法。这些基本特性,通过逻辑电路,让人们完成了二进制之下的算术运算器设计。

限位数理论不仅用01数码,解决了实数领域的数值计算问题,而且用长短不一的限位数,解决了各种因素分析判断的决策问题。因为所有的因素分析,总是在有限的因素集中进行的。因素用10来表示有与无,相互关联的具有相同因素的那些01表示,就构成了多种限位数。通过对长短不一的限位数的性质,及其各限位数是否包含在那些总体的限位数之中,就可以找出影响决策的指标,形成判断机制,从而达到正确地决策。更有甚者,将二进制的限位数中01,以及不知道是0还是1的情况,分别用00110110来表示,通过逻辑电路,就可以制造出能够实现一次可以计算2NN位数的并行运算器。这使电子计算机也能够进行真正意义上的并行计算。将以往那些计算机需要执行千百万年才能完成的计算,变成几分钟之内就可以完成的现实。

限位数理论和计算机的设计方法是中国人发明的,但在中国的计算机科学界,认识和理解限位数理论,掌握计算机核心部件用限位数方法设计的人才,实在是太少。大多数计算机界人士还处在一种疑惑、不信、或不屑一顾的状态中。其中,不相信我们中国人,会自己搞出原创的计算机设计制造理论和方法,是一个普遍的现象。针对这些人,应该大声喊:“不要那么缺乏民族自信吧!”




https://m.sciencenet.cn/blog-340399-1213677.html

上一篇:P vs. NP 问题的总结
下一篇:信息与信息量的本质

1 张学文

该博文允许注册用户评论 请点击登录 评论 (0 个评论)

数据加载中...
扫一扫,分享此博文

Archiver|手机版|科学网 ( 京ICP备07017567号-12 )

GMT+8, 2024-4-19 21:19

Powered by ScienceNet.cn

Copyright © 2007- 中国科学报社

返回顶部