我们诚挚邀请并欢迎您加入 MEMS 2021 国际会议,该会议将于 2021 年 1 月 25 日至 29 日(星期一至星期五)在线上召开。虽然新冠疫情以及全球旅行限制对传统会议模式带来了前所未有的挑战,但 MEMS 2021 线上会议仍将致力于提供一个多学科交流的平台并促进全球范围内相关领域的持续突破。 近年来, MEMS 会议每年收到超过 700 余名学者的 800 余篇摘要投稿,并通过口头汇报与海报展示等方式介绍 200 余篇精选工作。对于即将到来的 MEMS 2021 会议,我们将继续单一与平行会议相结合的方式,为与会者、演讲者以及参展商提供完善的交流与互动平台。 会议主题(但不局限于) Materials, Fabrication and Packaging for Generic MEMS NEMS Micro- Nanofluidics Bio Medical MEMS MEMS Physical Chemical Sensors MEMS/NEMS for Optical, RF Electromagentics MEMS Actuators Power MEMS Industry MEMS Advancing MEMS for Products Sustainability Emerging Technologies New Opportunities forMEMS/NEMS 大会特邀报告嘉宾(Plenary Speakers) Anja Boisen/ Technical Univ. of Denmark Andrew N. Cleland/ Univ. of Chicago Eric Hobbs/ Berkeley Lights Robert S. Langer /MIT Wei Huang/ Northwestern Polytechnical Univ. 大会邀请报告嘉宾(Invited Speakers) Lin-Sen Chen Soochow Univ. Petra Dittrich ETH Zurich Cherie R. Kagan Univ. of Pennsylvania Miso Kim KRISS, Korea Jing-Quan Liu Shanghai Jiao Tong Univ. Matteo Rinaldi Northeastern Univ. Guillermo Villanueva EPFL Siyang Zheng Carnegie Mellon Univ. 重要日期 MEMS2021会议的重要日期如下: Submit Upload Paper: 20 Oct. 2020 Notification to Authors: 24 Nov. 2020 Author Acceptance: 30 Nov. 2020 All dates end 23:59 Hawaii Standard Time (HST) All dates are fixed, No Deadline Extensions ( 请注意: 这是最终时间,不会再延期 ) 官方网站:https://www.mems21.org 还请大家积极投稿支持! 大会主席 Philip Feng University ofFlorida, USA Niclas Roxhed KTH RoyalInstitute of Technology, SWEDEN Haixia Alice Zhang PekingUniversity, CHINA 特别提醒 由于是在线会议,世界范围内时区差别比较大,目前会议的最终日程安排还在讨论之中,组织者将会考虑每个时区的投稿数量来选择大多数参会人员较为合适的时间。
第4届生物材料和应用国际会议及展览会 2021年4月22-24日 韩国首尔 4 th International Conference and Expo on Biomaterials Applications Organizing Committee Sessions Biodegradable Biomaterials Dental Biomaterials Nanotechnology Nanomedicine and Nanotoxicity Biomaterial for Implants Nanofiber Scaffolds Biomedicine and 3D Bioprinting Wound Healing and Soft Tissue Repair Biomimetic Materials and Biomakers Tissue-Implant Interactions Polymer Biomaterials Biomaterials and Bionanotechnology Stem cell and Tissue engineering Artificial organs Biomedical Engineering Bioceramics Biomedical Imaging Bone regeneration Cancer Nano Technology and Tissue Nano-engineering Hydrogels Utility based Bio-materials Scaffolds Advanced Materials Magnetic Biomaterials Bio Imaging Bio Printing Tissues and Organs Orthopaedic Biomaterials Properties of Biomaterials Biomimetics Invitro Tumoral Models Biomedical Devices Biomaterials Processing Functional biomaterials surfaces Hard Tissues and Materials Nanotechnology in Biomaterials Biomaterials for Drug Delivery Materials Science Applied Biomaterials Surfaces and Interfaces of Biomaterials Biomedical Metal Materials Nanobiomaterials Advanced Gene and Drug Delivery Systems / Vaccine Carriers Bioinspired Intelligent Biomaterials Biomedical Inorganic Nonmetallic Materials (Bioceramics, Bioglass, etc) Bioactive Materials for Regenerative Medicine 会议网址: https://www.scientificfederation.com/biomaterials-applications/
由美国水资源协会(American Water Resources Association, AWRA)和中国科学院水资源研究中心(Center for Water Resources Research, Chinese Academy of Sciences, CWRR-CAS)共同举办的“水安全:新技术、策略、政策和制度国际专门 会议 ”,将于2019年9月16-18日在中国科学院地理科学与资源研究所召开。中国科学院院士夏军教授和美国水资源协会技术主任、前任主席Michael Campana 教授担任大会共同主席,美国德克萨斯农工大学农业经济系教授、埃尔帕索农业生命研究中心主任盛祝平和中国科学院地理科学与资源研究所研究员、中国科学院水资源研究中心副主任贾绍凤担任大会技术委员会共同主席。 会议 共有三次全会和28个专场,包括100余个口头报告和20多个展板展示。中国科学院院士夏军教授、美国水资源协会主席Lisa Beutler女士、中国水利水电规划设计总院副院长、国际水资源协会副主席李原园教授级高级工程师、亚利桑那州立大学Amber Wutich教授、北京市水务局局长潘安君教授级高级工程师、得克萨斯州水资源研究所所长John Tracy博士、水利部副巡视员刘六宴教授级高级工程师将分别就气候变化的水安全适应、中国水安全、全球家庭用水安全与千年发展目标、北京市水务管理理念与实践、城市水安全的影响因素、中国的河长制等主题做大会主旨报告。欢迎感兴趣的同仁参会! 会议 注册费1000元/人,学生减半。 大会网址: https://www.awra.org/Members/Events_and_Networking/Events/INTERNATIONAL_CONFERENCE_Water_Security_New_Technologies_Strategies_Policies_and_Institutions.aspx 联系方式:conference@igsnrr.ac.cn
http://mine2019.csp.escience.cn 会议时间: 2019 年 7 月 7-10 日( 7 月 7 日为注册日) 会议地点: 中国科学院上海微系统与信息技术研究所 Microsystems Nanoengineering 国际会议旨在展示国际微系统与纳米工程领域的最新研究成果与进展,搭建我国 M/NEMS 领域科研人员与国际顶级专家进行学术交流和合作的良好平台。会议由中国科学院信息技术学部和中国科学院电子学研究所共同主办,自 2014 年起每年举办一次, Microsystems Nanoengineering Summit 2019 ( MINE2019 )将由中国科学院上海微系统与信息技术研究所承办。 主席 大会主席 王曦 中国科学院院士,中国科学院上海微系统与信息技术研究所所长 大会共主席 崔天宏 美国明尼苏达大学麦克凯特杰出教授 李昕欣 中国科学院上海微系统与信息技术研究所研究员 孙 钰 加拿大工程院院士, 加拿大多伦多大学教授 Eric Yeatman , Imperial College London , 英国皇家工程院院士 大会主要议题 New Physics, Mechanics and Modelling of Micro-Nano systems New Materials and Structures of Micro-Nano systems Sensors Actuators in Micro-Nano systems Micro-Nano Fluidics and Biomedical systems Flexible Micro-Nano Systems Energy harvesting Technology of Micro-Nano systems Integrated Hybrid Micro-Nano systems Applications of Micro-Nano systems 特设奖项 优秀青年科学家奖 评审委员会负责 MINE2019“ 优秀青年科学家 ” 参赛资格审查(不限国籍),通过现场演讲方式评选优胜者,颁发证书并予以奖励,评审委员会主席由北京大学张海霞教授担任。 - 评选条件: 40 周岁以下的青年科学家,自荐或他人推荐到组委会; - 所需材料: (1) 过去五年在微系统与纳米工程领域取得的科研成果英文介绍,不超过 600 个词( Words ) ; (2)5 篇代表性论著; - 截止时间: 2019 年 4 月 15 日 前发送材料至 mine@mail.ie.ac.cn ,邮件主题注明 “MINE2019 Young Scientist” 。 优秀学生海报奖 会议设置 Poster Session ,鼓励参会者投稿并参与互动交流,特别激励学生投稿, “ 优秀学生海报奖 ” 获奖者由大会颁发证书和奖励; - 海报模板:长按下方二维码登录会议网站下载海报模板,发送邮件至: mine@mail.ie.ac.cn ,邮件主题注明 “MINE2019 Poster” ; - 海报提交截止日期: 2019 年 5 月 30 日 特邀报告人( C 主要大会报告 J. Stewart Aitchison,University of Toronto, Canada ( 加拿大科学院院士,苏格兰科学院院士) Daniel Beysens,Ecole Supérieure de Physique et Chimie Paris, France Karl F. Bouml;hringer,University of Washington, USA (纳米加工中心主任) Tarik Bourouina,University of Paris East, France (电子学院前院长) Jürgen Brugger,EPFL, Switzerland Tianhong Cui,University of Minnesota, USA (麦克凯特杰出教授) Yonggang Huang,Northwestern University, USA (美国国家工程院院士,欧洲科学院院士,欧洲科学与艺术院院士) Chenzhong Li,Florida International University, USA Ian Papautsky,University of Illinois at Chicago, USA Yu Sun,University of Toronto, Canada (加拿大工程院院士) Ling Xie,Harvard University, USA Eric Yeatman,Imperial College London, UK (英国皇家工程院院士)
ICIS: International Conference on Intelligence Science Intelligence Science II Third IFIP TC 12 International Conference, ICIS 2018, Beijing, China, November 2-5, 2018, Proceedings Editors (view affiliations) ZhongzhiShi CyrielPennartz TiejunHuang Conference proceedings ICIS 2018 758 Downloads Part of the IFIP Advances in Information and Communication Technology book series (IFIPAICT, volume 539) Log in to check access Buy eBook EUR83.29 Instant download Readable on all devices Own it forever Local sales tax included if applicable Learn about institutional subscriptions Table of contents (50 papers) About these proceedings PageNavigate to page numberof 2 Next Search within event Front Matter Pages I-XXXIII PDF Brain Cognition Front Matter Pages 1-1 PDF Multi-task Motor Imagery EEG Classification Using Broad Learning and Common Spatial Pattern Jie Zou, Qingshan She, Farong Gao, Ming Meng Pages 3-10 From Bayesian Inference to Logical Bayesian Inference Chenguang Lu Pages 11-23 Solution of Brain Contradiction by Extension Theory Germano Resconi, Chunyan Yang Pages 24-29 Cognitive Features of Students Who Are Tired of Learning Geometry Yan Wang, Xiaohui Zou Pages 30-34 Machine Learning Front Matter Pages 35-35 PDF Semantic Channel and Shannon’s Channel Mutually Match for Multi-label Classification Chenguang Lu Pages 37-48 Exploiting the Similarity of Top 100 Beauties for Hairstyle Recommendation via Perceptual Hash Chentong Zhang, Jiajia Jiao Pages 49-59 Attribute Coordinate Comprehensive Evaluation Model Combining Principal Component Analysis Xiaolin Xu, Yan Liu, Jiali Feng Pages 60-69 A Specialized Probability Density Function for the Input of Mixture of Gaussian Processes Longbo Zhao, Jinwen Ma Pages 70-80 Research of Port Competitiveness Evaluation Based on Attribute Evaluation Method Xueyan Duan, JieQiong Liu Pages 81-87 Universal Learning Machine – Principle, Method, and Engineering Model Contributions to ICIS 2018 Chuyu Xiong Pages 88-101 An Improved CURE Algorithm Mingjuan Cai, Yongquan Liang Pages 102-111 Data Intelligence Front Matter Pages 113-113 PDF D-JB: An Online Join Method for Skewed and Varied Data Streams Chunkai Wang, Jian Feng, Zhongzhi Shi Pages 115-125 The Application of Association Analysis in Mobile Phone Forensics System Huan Li, Bin Xi, Shunxiang Wu, Jingchun Jiang, Yu Rao Pages 126-133 How to Do Knowledge Module Finishing Shunpeng Zou, Xiaohui Zou, Xiaoqun Wang Pages 134-145 The Art of Human Intelligence and the Technology of Artificial Intelligence: Artificial Intelligence Visual Art Research Feng Tao, Xiaohui Zou, Danni Ren Pages 146-155 Language Cognition Front Matter Pages 157-157 PDF Using Two Formal Strategies to Eliminate Ambiguity in Poetry Text Wei Hua, Shunpeng Zou, Xiaohui Zou, Guangzhong Liu Pages 159-166 Discussion on Bilingual Cognition in International Exchange Activities Mieradilijiang Maimaiti, Xiaohui Zou Pages 167-177 The Cognitive Features of Interface Language and User Language Xi Luo, Lei Di, Xiaohui Zou Pages 178-183 The Cognitive Features of Programming Language and Natural Language Wen Xu, Fangqu Xu, Xiaohui Zou, Zhenlin Xu Pages 184-190 Ten-Years Research Progress of Natural Language Understanding Based on Perceptual Formalization Peihong Huang , Guo-Lei Zheng, Shilong Ma Pages 191-200 Learning Word Sentiment with Neural Bag-Of-Words Model Combined with Ngram Chunzhen Jing, Jian Li, Xiuyu Duan Pages 201-210 Related Text Discovery Through Consecutive Filtering and Supervised Learning Daqing Wu, Jinwen Ma Pages 211-220 Natural Language Semantics and Its Computable Analysis Zhao Liang , Chongli Zou Pages 221-229 Can Machines Think in Radio Language? Yujian Li Pages 230-234 Language Understanding of the Three Groups of Connections: Management Innovation Dynamic Mechanism and Intelligent Driving Environment Guangsheng Wang, Hanglin Pan, Xiaohui Zou Pages 235-242 Perceptual Intelligence Front Matter Pages 243-243 PDF CSSD: An End-to-End Deep Neural Network Approach to Pedestrian Detection Feifan Wei, Jianbin Xie, Wei Yan, Peiqin Li Pages 245-254 Predicting Text Readability with Personal Pronouns Boyang Sun, Ming Yue Pages 255-264 The Influence of Facial Width-to-Height Ratio on Micro-expression Recognition Siwei Zhang, Jinyuan Xie, Qi Wu Pages 265-272 Shortest Paths in HSI Space for Color Texture Classification Mingxin Jin, Yongsheng Dong, Lintao Zheng, Lingfei Liang, Tianyu Wang, Hongyan Zhang Pages 273-281 The 3D Point Clouds Registration for Human Foot Yi Xie, Xiuqin Shang, Yuqing Li, Xiwei Liu, Fenghua Zhu, Gang Xiong et al. Pages 282-292 The Cognitive Philosophical Problems in Visual Attention and Its Influence on Artificial Intelligence Modeling Jing-jing Zhao Pages 293-301 Parallel Dimensionality-Varied Convolutional Neural Network for Hyperspectral Image Classification Haicheng Qu, Xiu Yin, Xuejian Liang, Wanjun Liu Pages 302-309 Model Selection Prediction for the Mixture of Gaussian Processes with RJMCMC Zhe Qiang, Jinwen Ma Pages 310-317 Intelligent Robot Front Matter Pages 319-319 PDF Self-developing Proprioception-Based Robot Internal Models Tao Zhang, Fan Hu, Yian Deng, Mengxi Nie, Tianlin Liu, Xihong Wu et al. Pages 321-332 Artificial Unintelligence: Anti-intelligence of Intelligent Algorithms Yuhong Zhang , Umer Nauman Pages 333-339 XiaoA: A Robot Editor for Popularity Prediction of Online News Based on Ensemble Learning Fei Long, Meixia Xu, Yulei Li, Zhihua Wu, Qiang Ling Pages 340-350 Design and Implementation of Location Analysis System for Mobile Devices Yu Rao, Shunxiang Wu, Bin Xi, Huan Li, Jingchun Jiang Pages 351-357 Control Information Acquisition and Processing of the AMT System Based in LabVIEW and MATLAB Zhisen Zhang, Chengfu Yang Pages 358-361 Multi-robot Distributed Cooperative Monitoring of Mobile Targets J. Q. Jiang, B. Xin, L. H. Dou, Y. L. Ding Pages 362-372 Research on the Micro-blog User Behavior Model Based on Behavior Matrix Zhongbao Liu, Changfeng Fu, Chia-Cheng Hu Pages 373-377 Probe Machine Based Consecutive Route Filtering Approach to Symmetric Travelling Salesman Problem Md. Azizur Rahman, Jinwen Ma Pages 378-387 Fault Diagnosis Front Matter Pages 389-389 PDF Automatic Fault Detection for 2D Seismic Data Based on the Seismic Coherence of Mutative Scale Analysis Window Wenli Zheng, Jinwen Ma Pages 391-400 Fault Diagnosis UAV Assisted Bridge Defect Inspection System Shuzhan Yang, Zhen Shen, Xiao Wang, Tianxiang Bai, Yingliang Ji, Yuyi Jiang et al. Pages 401-411 Fault Diagnosis and Knowledge Extraction Using Fast Logical Analysis of Data with Multiple Rules Discovery Ability Xiwei Bai, Jie Tan, Xuelei Wang Pages 412-421 Improved Feature Selection Algorithm for Prognosis Prediction of Primary Liver Cancer Yunxiang Liu, Qi Pan, Ziyi Zhou Pages 422-430 A Novel Spatial-Spectra Dynamics-Based Ranking Model for Sorting Time-Varying Functional Networks from Single Subject FMRI Data Nizhuan Wang, Hongjie Yan, Yang Yang, Ruiyang Ge Pages 431-441 Bat Algorithm with Individual Local Search Maoqing Zhang, Zhihua Cui, Yu Chang, Yeqing Ren, Xingjuan Cai, Hui Wang Pages 442-451 Ethics of Artificial Intelligence Front Matter Pages 453-453 PDF Research on Artificial Intelligence Ethics Based on the Evolution of Population Knowledge Base Feng Liu , Yong Shi Pages 455-464 Does AI Share Same Ethic with Human Being? Zilong Feng Pages 465-472 “Machinery Rationality” Versus Human Emotions: Issues of Robot Care for the Elderly in Recent Sci-Fi Works Lin Cheng , Yiyi He Pages 473-481
微系统和纳米工程研究领域的最新进展 ——MINE2018国际会议综述 缪立明,张海霞 * (北京大学 微米/纳米加工技术国家重点实验室,北京 100871) 通讯作者:hxzhang@pku.edu.cn 摘要: Microsystems Nanoengineering Summit 系列会议是 Microsystems Nanoengineering 国际期刊创建的同名国际会议交流平台,2018年7月8日至11日,Microsystems Nanoengineering Summit 2018(MINE2018)暨第5届微系统与纳米工程国际研讨峰会在北京中国科学院学术会堂隆重召开,来自世界各地的专家教授、青年科学家、青年学者齐聚一堂,分享其在微纳米科技领域的最新研究成果。此次会议设有医疗微机电系统、微光学、软材料和智能系统、传感器和传感系统、微流体、微/纳机电系统、微加工、超材料和微能源、纳米加工和应用等8个专题,共有包括邀请报告和青年科学家报告在内的53个口头报告和60个海报展示。本文将从光电器件在生物医疗的应用、可穿戴设备、微能源系统等角度,详细介绍和阐述相关领域的研究现状与突出成果,并对产业发展趋势进行总结与展望。 关键词:MINE2018;微纳米加工与集成;微纳电子与生物医疗;微纳米系统 Overview of MINE 2018 MIAO Li-ming, ZHANG Hai-xia * (National Key Laboratory of Science and Technology on Micro/Nano Fabrication, Institute of Microelectronics, Peking University, Beijing 100871, China) Abstract :Microsystems Nanoengineering Summit is the international exchange platform created by the Journal named Microsystems Nanoengineering . Microsystems Nanoengineering Summit 2018(MINE2018) was held in Beijing, China during July 8-11, 2018. The conference brought together professors, young scientists and students worldwide to demonstrate their most recent and advanced findings in Microsystem and Nanoengineering. The summit has eight subjects includingMedical MEMS, Micro Optics, Soft Materials Smart Systems, Sensors/ Sensing Systems, Lab on a Chip/Microfluidics, MEMS/NEMS, Microfabrication, Metamaterials/ Micro-Power and Nanofabrication Applications, where 53 oral presentations from invited professors and young scientists and 60 poster presentations show recent works and development in Microsystems and Nanoengineering. papers were accepted as oral and poster presentations. In this review, the current research and potential prospect in smart wearable devices, microfluidics, and micro-energy systems have been introduced. In this review, the current research and potential prospect in Optoelectronic device for medical, smart wearable devices, and micro-energy systems have been introduced. Definitely, the success of this conference would significantly promote the development of Micro/Nano technology. 1 会议概况 微系统与纳米工程国际会议(Microsystemand Nanoengineering Summit,简称MINE)是微纳米系统领域的高水平学术会议。会议旨在汇集全球微纳米系统领域的专家和青年学者,共同探讨微纳米系统的加工、应用及面临的问题,推动最新的研究成果共享,促进跨领域学科交叉,从而实现微纳米系统领域的各个方向的共同发展。 图1 MINE2018大会会场 2 会议技术概况 MINE2018 自2018年7月8日至11日,包括大会特邀报告、青年科学家论坛以及海报张贴等内容,会议主题分为8大类,包括医疗微机电系统、微光学、软材料和智能系统、传感器和传感系统、微流体、微/纳机电系统、微加工、超材料和微能源、纳米加工和应用,几乎覆盖了微纳米系统领域的全部研究主题,是微纳米系统领域的一场饕餮盛宴。本届会议本届峰会针对微纳系统研究与应用,邀请了来自美国、加拿大、英国、法国、德国、荷兰、爱尔兰、瑞士、日本等九个国家和地区的二十三位专家做特邀报告,其中海外院士五位。同时有30位青年科学家参与了本次青年科学家论坛展示各自的最新研究成果,以及来自24个单位的60篇海报展示。最终,经过技术委员会专家的认真细致的评审工作,评选出了6位“青年科学家奖”获得者,他们分别是来自南洋理工大学的张翼、清华大学的盛兴、多伦多大学的刘新宇、东南大学的陶立、宾夕法尼亚大学的冯亮和德克萨斯AM大学的黄子劲,同时评选出了10位“最佳学生海报奖”获得者。 图2 “青年科学家奖”提名者及专家评审团合影 3 大会特邀报告 本次大会特别邀请了来自世界各地的专家教授进行了23场精彩且深入的报告,从各自研究的工作成果,目前微纳米科学研究遇到的问题与挑战,到行业未来的方向与机遇等等全方位、多领域多方向进行了细致的讲解与介绍。 作为微电子机械系统(MEMS)领域的泰斗,MasayoshiEsashi教授于1976年在日本东北大学分别获得了电子工程博士学位。他在东北大学电子工程学院先后担任研究员和副教授,1990年成为东北大学教授,并于2006年以工学研究者的身份获得日本紫绶褒章。他在东北大学的纳米机械研究室致力于微机电系统的研究和应用,研究领域包括微机电系统,集成式传感器,微系统和微机电系统封装等,获得很多与实际应用相结合的研究成果,并得到了世界范围内超过75个企业的支持。Esashi教授在半导体微机电系统设计制造领域著书百余部,发表论文700余篇。2010年4月,被选为日本在最先端研究开发1000亿日元支援项目的30位科学家之一。 图3 大会特邀报告人之一——江刺正喜教授 本次报告,Esashi教授特别为我们介绍了在东北大学关于异构制造、手动操作制造设备方面的内容以及微机电系统展示实验室。通过将MEMS器件异构集成的方式转移至载体晶圆上实现了MEMS器件与大规模集成电路的集成,声表面滤波器,薄膜腔声谐滤波器,压电MEMS开关,触觉传感器等都是“MEMSonLSI”很好的例子。而且,可手动操作的微加工设备已经于2010年开始,这样使得那些无法拥有相关设备的单位能够派遣员工去外加工单位按照自己的需求进行操作来获得小批量的生产和制造。 4 青年科学家论坛 4.1 用于深脑神经调制和传感的植入式光电器件 来自清华大学的盛兴介绍了植入式光电器件在深层大脑神经调制及传感的应用的相关工作。一般来说,可见光和近红外波段的光学信号进入生物组织的穿透深度从几十微米到几毫米不等,由于复杂的光生物相互作用,例如散射和吸收,这种穿透是有限的 。为了实现深层组织光传输(1厘米),需要先进的可植入光电器件和系统。将波导、光发射器和探测器直接注入到动物的深层体内,可以拓宽一些潜在的应用,例如激光外科、视网膜修复、图像识别等。最近关于基因编码的光制动器和指示器的进展促进了用于在时间和空间上检测和操纵神经元活动的多功能工具的形成。植入式光学解决方案具有利用光刺激以及通过遗传编码检测特定神经元活动的优势。 用于颅内光传输和检测的已建立的工具之一是使用植入式光线(大部分情况下是波导)进行体内的大脑深层光遗传袭击和荧光信号的检测。关于基于柔性可拉伸甚至生物兼容材料的光学神经交界、标准石英玻璃、光纤和波导的相关问题已经被广泛且深入的研究。而最近研究的多功能光纤将电机和微通道结合在一起,可以同时进行光信号刺激、电生理传感和药物递送 。 图4 神经科学的代表性植入式电子器件和系统 由于传统的可植入光纤需要于外部的光学、电学元件互连来满足对于功率以及信号传输的需要,因此整个系统不可避免地具有有线、大尺寸的特点。另外,先进光学神经结构接口也可以基于上述设计和加工策略,由薄膜、微米尺度的光电器件(包括探测器、传感器、LED灯以及激光器)制备。这些封装的、超微化的器件可以被植入到组织中用于直接生物集成,具有多点记录/刺激、无线操作和多模传感/调制的作用。在这种方法中,通过将细胞大小的InGaN蓝色微LEDs与通过一个外部的柔性印制电路驱动的超薄柔性探针结合,可以形成可植入的光电极。通过极小的创口,这种微型LED探针可以被深入植入到具有自由行为意识的动物大脑内,从而能够使沟视紫红质-2表达神经元并且控制神经元活动。另外,卫星神经电极、光电探测器、温度传感器和LED层集成在一个可注射针头上,打开用于实施检测神经活动和生物传感的方式。另一个突破是微型发光二极管与微流体通道的集成,它可以同时进行神经反馈和药物传递。相对于将微型LED转移到柔性探针上,我们选择使用可植入式光电极,这种电极利用InGaN蓝光LED在硅晶片上单片生长和制造,随后图形化和成形以形成之谜的微LED和微电极阵列,具有高的可扩展性和时空分辨率,并且其加工工艺与CMOS器件更容易兼容。为了观察和理解大脑深层的神经元活动,用于荧光检测和成像的调制工具已经被研制出来。常规的荧光成像方法包括共聚焦显微镜和多光子显微镜,但是在大脑内的醉倒穿透深度只有几毫米。而通过植入玻璃棒透镜进入大脑正可以解决此问题。为了减小创伤,我们将定制的CMOS成像传感器和微型LED结合到柔性薄片上,以便将其植入到组织中以获得高分辨率的深脑荧光成像。与成像传感器相邻的微型LED作为光源用来激活绿色荧光蛋白表达细胞,同时成像传感器用来捕获和处理荧光信号。这种可知如的成像平台为先进神经活动成像以及其他深部组织中的生物信号检测提供了无穷的机会。 4.2 可穿戴设备的集成及供能 可穿戴电子设备因其柔性、可拉伸性、便携性等特点,在过去十年中得到蓬勃发展,已经广泛应用于人类生活的各个方面,在个人健康实时监测、运动健身辅助、便携移动通讯、贴敷式安全监测等方面扮演着重要角色。可穿戴电子器件未来发展的一个极具吸引力的应用前景是实现单片全集成低功耗可穿戴电子微系统。然而,这一目标的实现还面临着诸多关键技术瓶颈和难点,其中最为突出的核心点在于探索实现持续可靠且可穿戴的有效供能方式。随着近年来柔性能量采集与存储技术的快速发展,高性能的微型能量器件也成为研究的热点领域,本届峰会上,针对高性能能量采集技术、稳定持久能量存储技术等方向也获得了青年科学家们的普遍关注。而为了更好的与可穿戴设备相结合,研究人员也将研究重点放在了以下几个方面,包括各种能量转化机制下的高效能量采集技术、集成化的能量采集与存储单元相结合的加工技术以及新特性新应用的进一步探索等。 张晓升教授做了题为“面向单片全集成自驱动穿戴式微系统的微能源采集技术”的学术报告,就微纳复合制造、新型微能源采集、自供能柔性微系统等领域进行了全面的介绍,分析了当前学科发展的现状及趋势 。他介绍了可穿戴式电子产品显著的灵活性和生物相容性以及超低功耗等特点,讲解了可穿戴式电子产品在传感网络、生物医学诊断、人工皮肤等方面的典型应用。随后,张晓升教授就可穿戴式电子产品所面临的“缺乏可持续的电源”的挑战,提出了基于摩擦纳米发电机的单片全集成柔性自供能微系统的构想。他对一种简单、高效、大规模生产的硅基微纳米复合结构的制备技术做了简要介绍,并将其优化,扩展到通用的柔性材料,并应用到能量收集领域实现摩擦纳米发电机,将环境能源转换成显著的电力输出,基于这项技术易于集成的优点,可开发出新的自供电可穿戴电子产品 。 图 5 基于纳米摩擦发电机的单片全集成自驱动柔性微系统展望 从纳米摩擦发电机工作机理的演进,先进摩擦功能材料的发展,基于 TENG 的主动式感知传感,基于 TENG 驱动的微执行器,以及复合集成能量单元等多个角度,张晓升教授总结归纳了当前 TENG 面向柔性自供能微系统领域的最新研究进展,进而探讨了 “ 功能 + 供能 ” 一体化的自驱动柔性微系统的可行性。 5 学生海报展示 5.1 新型混合电位型 NO2 气体传感器 随着物联网的逐步发展,日常生活中传感器的需求和应用场景越来越多,而传感器所必备的除了自身性能的优越性,即对所传感信息的敏感度,其次还需具有对其他传感信息的不敏感性,即具有选择性传感的特性。在生化领域,气体传感器是最常见的传感器之一 ,一般都是通过一定的化学反应产生便于检测的生成物从而坚定某种气体。又因为器件尺寸和化学反应的限制,往往生成物较少并且可重复性较差。因而现有气体传感器更加注重性能提升和可重复性的使用。 图 6 混合电位型 NO2 传感器示意图 在这样的基础上,来自清华大学的 Tianhong Cui 教授课题组介绍了题为 “ 采用低能离子束刻蚀技术处理混合电位型 NO2 传感器的高性能三相边界 ” 的工作。如图所示,该篇工作首次采用低能离子刻蚀 YSE 衬底,通过调节刻蚀角度, YSE 衬底可以形成形貌各异的表面,传感的表面就是通过 TPB 自组装形成的具有一定凹凸结构的复杂表面,最后在表面积淀 NiO 纳米颗粒,来提供反应物。通过刻蚀得到的形态复杂的表面可以增大接触面积,从而提高反应速率,相比于未经低能离子处理的表面,复杂的表面结构使得性能是其 1.9 倍。传感反应机理方程如下: 该篇工作对刻蚀角度进行了控制,分别是未经处理和从 10 度和 40 度角进行低能离子刻蚀,通过实验验证可得,在其他条件相同时,处理角度越大,表面形态越复杂,则接触面积越大,反应所产生的电压越大。与此同时,不难想到的是输出电压和 NO^2 的气体浓度也呈现正相关的关系,并且其输出从实验也可以看出具有一定的稳定性。而与此同时,用于检测 的该反应对诸如 的气体也不敏感,因而该器件有着很好的气体选择性。 5.2 基于摩擦电效应的仿指纹结构的滑动传感器 人体皮肤是一种显着的器官,能够覆盖全身,具有多种刺激检测能力,如压力,剪切力和温度。受此启发,大量可拉伸电子设备在可穿戴电子设备和智能机器人等许多领域引发了重大技术进步 。 指纹由于其精致的结构而在人体中具有最高的灵敏度,在电子皮肤领域引起了很多关注,其中研究人员旨在提高压力传感和滑动检测等可拉伸传感器的性能。 一种新的传感机制 - 摩擦纳米发电机( TENG ),它依赖于摩擦电效应和静电感应效应,近年来在能量收集和自功率传感方面取得了很大进展,已经提出了四种不同的工作机构,包括接触分离模式,滑动模式,单摩擦表面模式和独立模式,以确保 TENG 几乎可以在任何条件下平稳运行 。此外,由于其结构简单,制造工艺成本低,信号收集容易, TENG 作为有源传感器应用于大量领域。 图 7(a) 人类指纹结构示意图; (b) 螺旋四电极器件示意图 将指纹结构和 TENG 相结合,北京大学的 Haixia Zhang 课题组介绍了题为 “ 指纹启发的摩擦电滑动传感器 ” 的工作。如图所示,通过甲苯将 PDMS 和 CNT 进行混合可以制得兼具 PDMS 可拉伸性能和 CNT 导电性的 CNT-PDMS 混合物,再倒入模具中,可以制得四个螺旋和交替电极组成的新型滑动传感器。基于摩擦电和静电感应效应,当外部物体穿过表面时,四个电极一个接一个地产生电压信号。在对四个电极进行编号之后,通过鉴别输出信号的输出顺序则可以区分滑动的方向。此外,不仅如此,由于可以得到输出电压的时间关系图,还可以通过计算信号谷峰的数量即经过物体的时间,和相应的时间间隔来检测滑动物体的位移和速度。将其覆盖在机器人手上,就可以检测不规则表面上的物体滑动信息。 5.3 用于采集人体机械能的线性独立式驻极体发电机 随着智能可穿戴设备的市场需求进一步扩大,设备自身能源的供给成为我们不得不面对的一个问题。对于能源供给,一方面可以使用传统电池,另一方面也可以合理收集利用设备使用场景下所产生的能量对设备进行供电。但随着日益发展的微电子系统,前者的缺点愈发明显,频繁地为电池充电越发的不现实。因而近年来,诸如太阳能采集、机械能采集、射频能量采集等能量采集技术应运而生,被视作替代传统电池为微型电子器件供能的潜在方案。其中,采集人体动能成为众多能量来源中的一种。一方面其旨在收集人体动能,对为智能可穿戴设备供能而言,有着极大的便利性,其次,通过新奇的结构设计和有针对性的材料选择,发电机可以拥有较高的短路电流、开路电压和功率输出,来保证充足的能源供给 。 在这一方面,来自清华大学的 Xiongying Ye 教授课题组介绍了题为 “ 用于收集人体动能的线性独立式驻极体发电机 ” 的工作。如图所示,整体可视为双层结构,上层为 PCB 衬底和铜的疏齿状电极所构成的转子,下层为 PMMA 衬底和 PTFE 驻极体以及小球所构成的定子,其中小球用于支撑上层结构,使铜电极与驻极体相隔离,在铜电极和驻极体相对的时候,铜电极上产生感应电荷。在人体运动过程中,器件位置倾斜,驻极体与铜电极的相对位置改变,在相对铜电极上产生感应电荷,接到外接负载就可以产生相对应的电流和电压,用于给智能可穿戴设备供能。该器件性能优异,在外在加速度设定为 2.4m/s^(2 ) ,驻极体表面电荷密度为 0.027mC/m^(2 ) ,期间倾斜角度为 30 度时,测得的最大输出电压为 370V ,平均输出功率为 18.34μW ,并且测得的数据和计算所得到的数据波形相一致。此外,课题组还验证了外接负载电阻对输出电流电压的影响,测得的数据和计算所得的波形也相一致。最后课题组研究了倾斜角度对输出的影响,发现随着倾斜角度的增加,功率有所提高,这主要是因为随着角度的增加,转子移动的速度有所增加,从而铜电极上产生感应电荷的速率加快,因此输出功率增加。这样的线性独立式驻极体发电机成本较低,能源洁净可持续,佩戴在手腕或者手臂上可用于收集人体动能,对智能可穿戴设备实现实时的能量供给。 图 8(a) 驻极体发电机示意图; (b) 驻极体发电机的截面图; (c) 支撑结构示意图; (d) 驻极体发电机实物图。 6 总结 微系统与纳米工程峰会是国际微纳米技术领域的高水平系列学术会议,而 MINE 2018 则是本年度微纳米技术领域的一次盛会,它的成功举办标志着微纳米科技已经成为了改变人们生活和思维方式的重要技术之一。它促进了最新研究成果的共享,推动了跨领域学科交叉与知识沟通,带动了微系统与纳米工程领域的快速发展。通过此次会议,我们可以将发展趋势归纳为以下四点: (1) 新型微纳米加工工艺及新封装技术使得系统的可靠性与稳定性大幅提升; (2) 光学、电学等物理研究与医疗结合具有广泛的应用前景; (3) 柔性可穿戴设备的可靠性与稳定性随着材料、加工方式的创新和改进而不断提升; (4) 微纳米器件的集成包括与集成电路的集成、微纳米器件系统化和工程化,使得其与产业界的联系更加紧密。 MINE 2018 会议以 Microsystems Nanoengineering 国际期刊为平台,增强国际交流和合作,引进国际先进技术讲座,加速我国微纳系统发展进程;同时特邀一流科学家做主题报告讲座,培养中青年科技工作者和研究生。本次会议为参会人员学习和了解微纳系统技术最新成果提供了非常好的机会,同时也希望搭建一个与国际顶级专家进行学术交流和合作的良好平台 。据悉, 下一届 MINE 峰会将于 2019 年 7 月 7 日至 10 日在上海举办 ,届时必将吸引更多相关领域的一流专家学者共同参与,让我们共同期待微机电领域蓬勃发展,为人类社会发展开启的崭新篇章。 参考文献 : P. N. Prasad, Introduction to Biophotonics, Wileyshy;Interscience, Hoboken, NJ 2003. Xu H, Yin L, Liu C, et al. Recent Advances in Biointegrated Optoelectronic Devices . Advanced Materials, 2018: 1800156. Zhang X S, Han M, Kim B, et al. All-in-One Self-Powered Flexible Microsystems Based on Triboelectric Nanogenerators . Nano Energy, 2018. Zhang X S, Han M D, Wang R X, et al. Frequency-multiplication high-output triboelectric nanogenerator for sustainably powering biomedical microsystems . Nano letters, 2013, 13(3): 1168-1172. Bott B, Jones T A. A highly sensitive NO2 sensor based on electrical conductivity changes in phthalocyanine films . Sensors and Actuators, 1984, 5(1): 43-53. Inoue T, Ohtsuka K, Yoshida Y, et al. Metal oxide semiconductor NO2 sensor . Sensors and Actuators B: Chemical, 1995, 25(1-3): 388-391. Lipomi D J, Vosgueritchian M, Tee B C K, et al. Skin-like pressure and strain sensors based on transparent elastic films of carbon nanotubes . Nature nanotechnology, 2011, 6(12): 788. Chen H, Song Z, Song Y, et al. Fingerprint-inspired triboelectrific sliding sensor //Micro Electro Mechanical Systems (MEMS), 2018 IEEE. IEEE, 2018: 878-881. Bai P, Zhu G, Lin Z H, et al. Integrated multilayered triboelectric nanogenerator for harvesting biomechanical energy from human motions . ACS nano, 2013, 7(4): 3713-3719. 全球华人微米纳米技术合作网络 (CINN) : Http://www.CINN.cc.
紫禁之巅风潇潇,江湖英雄剑出鞘,试问今年谁问鼎,京城七月看分晓! 2018 微纳江湖英雄传第四季 原力决战紫禁之巅 上文书写到:微纳魂器的擂台上十位绝世高手, 浙大胡不欢,法兰西美女来不及,美利坚李秋水,德州李孤城,侠女刘霞,加国刘天师,东南陶仙丹,沪上杨六郎,少东家邹旭东,宋小妹宋轶琳 ,巾帼战须眉,试看红妆是否傲群雄。这第三场擂台赛又是赛什么? 擂台赛最后一场,当然也是最终的比拼,那必须是一场电光火石的大战,因为十位高手比拼的就是: 原力 !看过西国《星球大战 — 原力觉醒》的都会知道捷达骑士集信念与自然之力与一体挥动原力之剑,那是宇宙天地之间势不可挡之最强武功,发力之时虽不动声色但是原力所到之处皆排山倒海、催古拉朽,且说来自世界六个国家的九位骑士均练就了自己的 绝世原力 !他们是谁又带着怎样的原力而来?下面听我一一道来。 擂台赛第三场: 7 月 11 日下午,原力( Nanofabrication Applications ) ( Controllable Manipulation and Transfection of Single Cell on Nano-electroporation Platform ) 第一位,姓常名凌乾,祖籍河西走廊,素有大志,读书时远赴东海,后入帝都师从天山童姥专攻“生死符”,无奈当时年少未得真传,赴美取经后经高人点化方领悟童姥心经,自此专注在修炼如何掌控细胞生死存亡的原力上,年纪青青屡获大奖,运气又常常眷顾,故江湖人称“ 常遇春 ”,这次带着最新练就的原力神器而来,据说可以用声光电将单个细胞控制得团团转,更何况由细胞组成的人乎?! ( Study SNARE-mediated Membrane Fusion at the Single Nanovesicle level ) 第二位登擂侠客姓刁名佳杰,顾名思义,一方豪杰,与西有不解之缘,读书时入天朝最牛都市长安城中最难进的交通衙门,远赴美利坚时又选择中西部的玉米地跟随西毒研习一门特立独行的武功:江湖上传说获得原力的的主流是操控单个细胞,可他们偏偏不爱细胞而是爱上了给细胞带金钟罩,希望通过金钟罩获得“ 经脉逆行 ”的原力,没想到歪打正着,这门武功竟然成了横行江湖威力巨大的原力之一!因此江湖人送绰号: 刁我行 。 ( Fabricating Nanostructured Optical Antennas for Giant Field Enhancements and High-Resolution Color Printing ) 第三位登擂侠客姓董名兆刚,常居南洋,练功道场是星工场,乃南洋第一道场,网罗全世界高手、赋以重金让更多有识之士专心切磋武艺,在星工厂里成为世界上一等一的高手,兆刚就是这样的高手之一,多年来专心习武,练就了一手 纳米绣花针 的绝活,江湖人送外号“ 绣花董 ”,连续几年在星工场各种比武中拿下第一的名头,不仅是可以绣出全世界最小最艳丽的花,更是可以让这看不见摸不着的纳米花成为传递秘密信息的原力,可谓:绣花事小、原力为大!这次绣花董带着原力纳米花首次北上天朝比武,势在必得,相比董大侠在擂台上撒花之时,台下必将中招一片。 ( Exceptional Nanophotonics ) 第四位登擂侠客姓冯名梁,阳光帅气,绰号 光王子 ,这几年是江湖上的一个传奇,本就出生名门,习武期间已经星光无限,颇得师门重用,到常青藤名校宾夕法尼亚自立门户之后,更是一发不可收拾,一把把充满魔力的光剑磨砺而出、每一次都光耀江湖,惊得还固守残缺的各位大佬把持不住,纷纷派人前去打探,他们在练什么功?为何只见冯氏光剑直冲云霄却不见下面元气消耗?这冯梁到底是何方神圣?这次光王子带着他的 原力光剑 前来打擂,乃是江湖上一睹光剑真容的良机,不可错过。 ( FTIR spectrometers: from benchtop to cellphone devices ) 第五位登擂侠客颇有些来头, Yasser Sabry ,萨布利,来自法老的故乡 -- 埃及,江湖人称“ 埃及法老 ”。且说这江湖上早就传言 5000 年前建造金字塔的那绝世武功来自外星球,在地球上没有传人,没想到千年之后,在埃及却又是冒出了一批堪比当年法老的牛人,比如足球界名动欧陆的当红巨星萨拉赫,横空出世凭一己之力把埃及带到了世界杯的赛场,这位前来打擂的萨布利博士也是这样的一位侠客,他在欧陆潜心修炼多年,练成一把 红外光剑 交到法老国人手一件的绝世利器,可以说是续接上了千年的文明。这次埃及法老萨布利携此利器千里迢迢只能攻擂而来,宛如世界杯赛场上威风八面的萨拉赫,虽然人还未到,气场却已经爆棚! ( Fast droplet shedding on superwetting surfaces ) 第六位登擂侠客来自东海,去年东海打擂的时候,台下一位青年剑客摩拳擦掌、跃跃欲试,今年一早就报上名来要求登擂比武,他就是人称“ 东海四小龙 ”之一的刘亚华,出身东海,因酷爱水上功夫,所以远走香江追随神算子王道仙练习被当时被武林中人普遍认为不可能的铁掌水上漂,在道仙的掐指计算中,竟然终于练成,从而师徒二人名满香江。成名之后他又回游到东海,成为四小龙之一,这次他带着自己的 超滑原力 杀上擂台,誓要与光剑一争高低。 ( Nanostructured Metamaterials for Extreme Light Manipulation ) 第七位攻擂大侠的名字报上来恐怕是要吓倒一批看客,可就是早年间以国际象棋打遍天下无敌手的大马第一高手黄子劲,自幼人送外号“ 黄仙童 ”,与棋坛难逢对手,无聊之时靠砸鳄鱼打发时光,拟上天揽月发现原力不足,于是赴美利坚拜世外高人翔大师为师,专攻光电原力拟造光剑直至天庭,五年面壁带着五把光剑破关而出,到德州原野开始自立门庭、潜心修炼如何借助光剑原力飞天之事!如今这“ 黄仙童 ”已经修炼成即将飞天的“ 黄天师 ”,我们对打擂的飞天大师拭目以待。 ( Nanofluidics: Pioneering NanoBioChem Integration at Femtoliter, Attoliter, and Single-Molecule Scales ) 第八位攻擂侠客,是来自东瀛的许岩,说起东瀛天朝自然是五味杂陈,早年间打擂被东瀛剑客打得灰头土脸、体无完肤,这些年总算有些起色,但是也难以打到平手。还好这位许岩,本是天朝人,学艺在东瀛第一门派东大门下,在等级森严、门第观念严重的日本竟然凭借一己之力在大阪独立门户,将化学生物物理等纠结在一起形成了 独门纳米流体剑道 ,因此赢得江湖美名“ 许三通 ”。这次三通大师代表东瀛前来打擂,自然是志在必得。 ( High-performance Nanophotonic System Enabled by Ultra-thin, Smooth, Low-loss and Property-tunable Doped Silver ) 第九位攻擂侠客,是来自美利坚的张成,一个威威武武的山东大汉却做着绣花一般精细的功夫,张成的原力来自一层薄如蝉翼、细如轻纱的银线宛如天降白雪,故江湖人称“ 吹雪公子 ”,因为任何一件兵器只要镀上张成的这层银瞬间就光芒万丈、魔力无边,成为神器,这次张成杀上擂台,自然是要大展一番这来自白银吹雪的原力。 这一场,微纳原力的擂台, 常遇春、刁我行、绣花董、光王子、埃及法老、东海四小龙、黄天师、许三通、吹雪公子等携带原力决战紫禁之巅, 刀光剑影,好不热闹。 比武之日已经不远,欢迎各位看客前来京城一睹为快,所谓: 江湖烽烟起, 擂台唤英豪, 金丝软甲妙, 微纳魂器巧 光电原力好, 巾帼战须眉, 试问谁更高, 京城见分晓 MINE将于7月8-11日在北京中科院科学会堂隆重举行,新型学术会议精彩纷呈: 1、特邀报告:来自9个国家的23位国际学术界大咖受邀做大会报告 2、青年科学家奖:来自8个国家和地区的30位青年才俊决战紫禁之巅 3、优秀学生海报奖:特为研究生设立“优秀学生海报奖” 获奖者由大会颁发证书和奖金 目前已有将近300位代表注册参会,欢迎各位同仁前来围观这场大腕云集、群星璀璨的学术饕餮盛宴! http://mine2018.csp.escience.cn MINE2018 Conference Programme 14:00-19:00 July 8 Sunday Registration Time Speakers Talk Titles July 9,Monday Opening Remarks Group Photo Talk on Journal Chair: 08:00-08:25 Opening Remarks Group Photo 08:25-08:40 Mike Lee (Talk on Journal) Publishing with Nature Research journals- introducing Nature Electronics 08:40-08:55 Tianhong Cui (Talk on Journal) Introduction of Microsystems Nanoegnineering Invited Speak - Session 1 Medical MEMS Session chairs: Mark Allen, Tianhong Cui 08:55-09:20 Yu Sun Microsystems for Biophysical Characterization of Human Cardiomyocytes 09:20-09:45 Jonathan M. Cooper Origami Diagnostics – Folding Paper for Multiplexed Microfluidic Sensors 09:45-10:10 Alexander Revzin Microsystems for Cultivation and Analysis of Cells 10:10-10:25 Coffee Break Invited Speak - Session 2 Micro Optics Session chairs: Ping Wang, Yu Sun 10:25-10:50 Ian White Nanosecond Hybrid Optical Switches for Large Port Count Datacommunication Applications 10:50-11:15 Tarik Bourouina Water Panels and Air-Panels: Towards Solar-Driven, Micro- and Nano-enabled devices for decentralized production of high quality vital resources 11:15-11:40 Xin Zhang MEMS and Metamaterials: Fundamental Physics and Applications 11:40-12:05 Hans Zappe Imaging and adaptive optics using fluidic microtechnology 12:05-13:00 Lunch 13:00-14:00 Poster Session 1 Young Scientists Forum – Session 1 Soft Materials Smart Systems Chair: Haixia(Alice) Zhang, Co-Chair: Karl F. Bhringer 14:00-14:20 Zenfeng Liu Elastomeric Buckled Conducting Composites for Stretchable Interconnects, Artificial Muscles, and Wearble Electronics 14:20-14:40 Liang Pan High-Throughput Nano-manufacturing using Plasmonic Focusing 14:40-15:00 Yunlong Zi High-Voltage Output from Triboelectric Nanogenerator: Challenges and Opportunities 15:00-15:20 Qian Xin Flexible diodes, transistors, and circuits for wearable electronics 15:20-15:40 Cunjiang Yu Fully Rubbery Stretchable Electronics, Sensors, and Integrated Systems 15:40-15:55 Coffee Break 15:55-16:15 Xing Sheng Implantable Optoelectronic Devices for Deep-Brain Neural Modulation and Sensing 16:15-16:35 Chi Zhang Tribotronics for Mechanosensation and Self-Powered Systems 16:35-16:55 Xiaosheng Zhang Approaches towards “All-in-One” Self-Powered Micro/Nano-Systems 16:55-17:15 Yi Zhang Beyond Conventional Medicine with Micro/Nano Technology 17:15-17:35 Li Zhang Magnetic Microrobots for Biomedical Applications: From in vitro to in vivo 17:35-17:55 Ting Zhang Flexible/Stretchable Sensing Electronics: From Fundamental Research to Applications 19:00-21:00 Banquet July 10, Tuesday Invited Speak - Session 3 Sensors/Sensing Systems Session chairs: Shanhong Xia, Albert van den Berg 08:30-08:55 Eric Yeatman Synthetic Sensor Networks: Using Drones to Provide Communications and Energy for Embedded Sensors 08:55-09:20 Mark G. Allen Microfabricated Protein MEMS: Structures and Sensors 09:20-09:45 Fumihito Arai Micro-nano Mechatronics for Biomedical RD 09:45-10:10 Yogesh Gianchandani Microfabricated systems for air quality monitoring: identifying and quantifying volatile organic compounds 10:10-10:25 Coffee Break Invited Speak - Session 4 Lab on a Chip/Microfluidics Session chairs: Zhihong Li, Fumihito Arai 10:25-10:50 Albert van den Berg Labs and Organs on chip 10:50-11:15 Jens Ducrée Towards Rapid and Cost-Efficient Development of Microfluidics-Enabled, High-Technology-Readiness Level Solutions for the Life Sciences by a Platform-Based Design-for-Manufacture Approach 11:15-11:40 Hugh Fan Airbrushing and paper-based microfluidic devices for biomarker detection 11:40-12:05 Andreas Hierlemann Versatile microphysiological systems including microfluidic and microsensor structures 12:05-13:00 Lunch 13:00-14:00 Poster Session 2 Young Scientists Forum – Session 2 MEMS/NEMS Chair: Massayoshi Esashi, Co-Chair: Xinxia Cai 14:00-14:20 Huan Hu Advanced Nanomanufacturing for Crucial Healthcare Applications 14:20-14:40 Bérengère Lebental Carbon Nanotubes Meet MEMS: Co-intergration Enables 9 Phyiscal and Chemical Sensors on a Single Chip’s IoT Node for Water Network Monitoring 14:40-15:00 Wen Li Biomedical MEMS for Hybrid Neural Interfaces 15:00-15:20 Xiujun Li Multiplexed Instrument-free Bar-chart SpinChip Integrated with Nanoparticle-mediated Magnetic Aptasensors for Visual Quantitative Detection of Multiple Pathogens 15:20-15:40 Xia Liu Doping of Atomically Thin Semiconductors and High-Performance 2D Field Effect Transistors 15:40-15:55 Coffee Break 15:55-16:15 Xinyu Liu Paper-Based Microfluidic Biosensors for Disease Diagnostics 16:15-16:35 Li Tao Emerging 2D Atomic Sheets for Flexible Micro-Nano Electronics 16:35-16:55 Zhuoqing Yang Single-Optical Fiber Scanner Probe with Lager Scanning Angle 16:55-17:15 Xudong Zou High Precision Accelerometer based on MEMS Resonator 17:15-17:35 Yilin Song The Dual Mode Micro-Nano Electrode Array Sensors for Neural Electrophysiology and Neurotransmitter Detections July 11 Wednesday Invited Speak - Session 5 Microfabrication Session chairs: Ting Zhang, Karl Bhringer 08:30-08:55 Masayoshi Esashi Heterogeneous integration, hands-on access fabrication facility and MEMS show room in Tohoku University 08:55-09:20 Liwei Lin Micro/Nano Manufacturing for Sensing and Energy Applications 09:20-09:45 Marc Madou Controlling Carbon 3D Shapes, Microstructure and Doping 09:45-10:10 Tianhong Cui Shrink Polymer M/NEMS: Manufacturing from Micro to Nano 10:10-10:25 Coffee Break Invited Speak - Session 6 Metamaterials/ Micro-Power Session chairs: Haixia Zhang, Liwei Lin 10:25-10:50 Karl Bhringer Active Self-cleaning Surfaces on Solar Modules 10:50-11:15 Takahito Ono Flexible Thermoelectric Micro Power Generator for Wearable Devices 11:15-11:40 Babak Ziaie Ultrasonic powering of mm-scale implantable devices 11:40--13:30 Lunch Young Scientists Forum – Session 3 Nanofabrication Applications Chair: Tianhong Cui, Co-Chair: Tarik Bourouina 13:30-13:50 Lingqian Chang Controllable Manipulation and Transfection of Single Cell on Nano-electroporation Platform 13:50-14:10 Jiajie Diao Study SNARE-mediated Membrane Fusion at the Single Nanovesicle level 14:10-14:30 Zhaogang Dong Fabricating Nanostructured Optical Antennas for Giant Field Enhancements and High-Resolution Color Printing 14:30-14:50 Liang Feng Exceptional Nanophotonics 14:50-15:10 Yasser Sabry FTIR spectrometers: from benchtop to cellphone devices 15:10-15:25 Coffee Break 15:25-15:45 Yahua Liu Fast droplet shedding on superwetting surfaces 15:45-16:05 Zi Jing Wong anostructured Metamaterials for Extreme Light Manipulation 16:05-16:25 Yan Xu Nanofluidics: Pioneering NanoBioChem Integration at Femtoliter, Attoliter, and Single-Molecule Scales 16:25-16:45 Cheng Zhang High-performance Nanophotonic System Enabled by Ultra-thin, Smooth, Low-loss and Property-tunable Doped Silver 17:15-17:45 Young Scientists Awards Best Student Poster Awards
亚太物理学会联合会等离子体物理分会(AAPPS-DPP)为青年等离子体物理学家设了两个奖项(见附件): Young Research Award(40岁以下) U30 Doctoral Scientist/Student Award(30岁以下) 2017年AAPPS-DPP年会在成都召开,嘉奖了当年Young Research Award获奖人,获奖人全部来 自中国:王璐(华中科大)、 王玲华(北大空间),乔宾(北大物理),刘永新(大连理工)、徐国盛(等离子体所)。 今年上述奖项推荐工作已经快结束,Young Research Award中国只推荐了2人,U30 Award只推荐了1人。 请等离子体物理界资深人员尽快、多多推荐我们的年轻人!国内做出出色成绩的年轻人很多、需要我们把他们推向世界。 Call for AAPPS-DPP U30 Award.pdf Call for AAPPS-DPP Young Res. Award.pdf
The Second International Conference on Intelligence Science (ICIS2017) Conference Committees http://www.intsci.ac.cn/icis2017/committees.jsp ICIS2017, October 25 - 28, Shanghai, China Artificial Intelligence research has made certain substantial progress in some special areas so far. However, the deeper understandings on the essence of intelligence are far from sufficient and, therefore, many state-of-the-art intelligent systems are still not able to compete with human intelligence. To advance the research in artificial intelligence, it is necessary to investigate intelligence, both artificial and natural, in an interdisciplinary context. The objective of this conference is to bring together researchers from brain science, cognitive science, and artificial intelligence to explore the essence of intelligence and the related technologies. The conference provides a platform for discussing some of the key issues thatare related to intelligence science. The main theme of ICIS2017 is: Intelligence Science, Information and Noetic Science. Organized by Shanghai Maritime University and Shanghai Society for Noetic Science , supported by Shanghai Association for Science and Technology(SAST),co-organized by Shanghai Association for Artificial Intelligence (SAAI), Shanghai logic Association(SLA), Shanghai Chapter Under IEEE and Science and Technology on Information System Engineering Laboratory, National University of Defense Technology. Sponsored by Chinese Association for Artificial Intelligence (CAAI) and China Chapter under International Society for Information Studies. Sponsor Chinese Association for Artificial Intelligence (CAAI) China Chapter under International Society for Information Studies Organizers Shanghai Maritime University Shanghai Association for Noetic Science Co-organizers Shanghai Association for Artificial Intelligence (SAAI) Shanghai logic Association(SLA) Shanghai Chapter Under IEEE Science and Technology on Information Systems Engineering Laboratory, National University of Defense Technology Supporter Shanghai Association for Science and Technology(SAST) October 25-28, 2017, Shanghai, China http://cie.shmtu.edu.cn/icis-2017 http://www.intsci.ac.cn/icis2017 Call for Papers Artificial Intelligence research has made certain substantial progress in some special areas so far. However, the deeper understandings on the essence of intelligence are far from sufficient and, therefore, many state-of-the-art intelligent systems are still not able to compete with human intelligence. To advance the research in artificial intelligence, it is necessary to investigate intelligence, both artificial and natural, in an interdisciplinary context. The objective of this conference is to bring together researchers from brain science, cognitive science, and artificial intelligence to explore the essence of intelligence and the related technologies. The conference provides a platform for discussing some of the key issues thatare related to intelligence science. The main theme of ICIS2017 is: Intelligence Science, Information and Noetic Science Organized by Shanghai Maritime University and Shanghai Society for Noetic Science , supported by Shanghai Association for Science and Technology(SAST),co-organized by Shanghai Association for Artificial Intelligence (SAAI), Shanghai logic Association(SLA), Shanghai Chapter Under IEEE and Science and Technology on Information System Engineering Laboratory, National University of Defense Technology. Sponsored by Chinese Association for Artificial Intelligence (CAAI) and China Chapter under International Society for Information Studies. Topics of Interest: Topics of interest include but not limited to, the following areas: · Basic process of neural activity in brain · Linguistic cognition · Perceptual representation and feature binding · Noetic science · Coding and retrieval of memory · Learning and synaptic plasticity · Exploration and active sampling · Thought and decision making · Emotion and affection · Nature of consciousness · Development and adaptation of intelligence · Mind modeling · Cognitive computing · Brain-machine integration · Intelligent robots and animal robots · Brain-like intelligence · Neuromorphic computing · Big data analytics · Intelligent information processing · Meta Synthetic Wisdom · Mind philosophy · Hybrid intelligence · Essence of perception · Mechanism of cognition · Advanced learning · Evolution · Logic theory for AI · Mathematical theory for AI · Factor Space Theory · Attribute Theory Method Submissions process Authors are invited to submit either in a full paper of no more than 6 pages (or 6,000 words); a short paper, or problem instance (at most 3 pages or 3,000 words); or a position statement (1 page). Paper submissions are done through the Easychair system: http://easychair.org/conferences/?conf=icis20170 . The accepted papers should be presented in the conference.Selected papers will be recommended for publication in the following international journals. · International Journal of Intelligence Science · Special Issue, BMC Medical Informatics and Decision Making · Transactions on Intelligence Technology Detailed instructions can be found at the conference website: http://cie.shmtu.edu.cn/icis-2017 http://www.intsci.ac.cn/icis2017 Important Dates Paper submission deadline: June 23, 2017 Notification of acceptance: July 10, 2017 Final Version: July 30, 2017
SS6: Sensor Data Mining For Tracking Description: The rapid development of advanced sensors and their joint application provide a foundation for new paradigms to combat the challenges that arise in target detection, tracking and forecasting in harsh environments with poor prior information. As a consequence, the sensor community has expressed interest in novel data mining methods coupling traditional statistical techniques for substantial performance enhancement. For example, the advent of multiple/massive sensor systems provides very rich observation at high frequency yet low financial cost, which facilitates novel perspectives based on data clustering and model learning to deal with false alarms and misdetection, given little statistical knowledge about the objects, sensors and the background. Numerical fitting and regression analysis provide another unlimited means to utilize the unstructured context information such as “the trajectory is smooth” for continuous-time target trajectory estimation. Incorporating additional, readily available information to constrain the adaptive response and to combat poor scenario knowledge, has shown promise as a means of restoring sensor capability over a range of challenging operating conditions as well as to deal with a variety of challenging problems that makes traditional approaches awkward. The purpose of this special section is to assemble and disseminate information on recent, novel advances in sensor signal and data mining techniques and approaches, and promote a forum for continued discussion on the future development. Both theoretical and practical approaches in the area are welcomed. Organizers: Tiancheng Li ( t.c.li@usal.es ) Haibin Ling ( hbling@temple.edu ) and Genshe Chen ( gchen@intfusiontech.com ) The topics of interest of this specialsection include but are not limited to: · Adaptive filtering · Learning for state space models · Manoeuvring target detectionand tracking · Object recognition/classificationusing sonar, radar, video, soft data sources, etc. · Clustering approaches fortracking · Regression analysis for trajectoryestimation · Multiple Intelligent dataassociation/fusion · Machine learning technology fortracking Submission链接: http://www.fusion2017.org/submissions.html 欢迎投稿! The 20th International Conference on Information Fusion (Fusion 2017) will be held in Xi'an, China during July 10–13, 2017. Conference Venue: Wyndham Grand Xian South Video of Xi'an : http://www.fusion2017.org/video/Fusion2017_2.ogv
一合作教授想到中国来开国际会议,希望推荐 质量好的,虽然几乎每隔几天都会收到几个国际会议的邀请,但多数是征稿的,不希望人家来了看到我们的会议学术交流没有,就是希望不要让人失望的会议。 希望了解的的朋友推荐下,我熟悉的有限几个今年没开。 谢谢大家。 Dear Prof. Chen, I would like to pake part in the work of good conference for material science in China in the second half of 2016. May be you know such conferences? Best regards Sincerely yours S.V. Kono
Acronym Standard Name Rank AAAI National Conference of the American Association for Artificial Intelligence A+ AAMAS International Conference on Autonomous Agents and Multiagent Systems A+ ACL Association of Computational Linguistics A+ ACMMM ACM Multimedia Conference A+ ASPLOS Architectural Support for Programming Languages and Operating Systems A+ CAV Computer Aided Verification A+ CCS ACM Conference on Computer and Communications Security A+ CHI International Conference on Human Factors in Computing Systems A+ COLT Annual Conference on Computational Learning Theory A+ CRYPTO Advances in Cryptology A+ CSCL Computer Supported Collaborative Learning A+ DCC IEEE Data Compression Conference A+ DSN International Conference on Dependable Systems A+ EuroCrypt International Conference on the Theory and Application of Cryptographic Techniques A+ FOCS IEEE Symposium on Foundations of Computer Science A+ FOGA Foundations of Genetic Algorithms A+ HPCA IEEE Symposium on High Performance Computer Architecture A+ I3DG ACM-SIGRAPH Interactive 3D Graphics A+ ICAPS International Conference on Automated Planning and Scheduling A+ ICCV IEEE International Conference on Computer Vision A+ ICDE IEEE International Conference on Data Engineering A+ ICDM IEEE International Conference on Data Mining A+ ICFP International Conference on Functional Programming A+ ICIS International Conference on Information Systems A+ ICML International Conference on Machine Learning A+ ICSE International Conference on Software Engineering A+ IJCAI International Joint Conference on Artificial Intelligence A+ IJCAR International Joint Conference on Automated Reasoning A+ INFOCOM Joint Conference of the IEEE Computer and Communications Societies A+ InfoVis IEEE Information Visualization Conference A+ IPSN Information Processing in Sensor Networks A+ ISCA ACM International Symposium on Computer Architecture A+ ISMAR IEEE and ACM International Symposium on Mixed and Augmented Reality A+ ISSAC International. Symposium on Symbolic and Algebraic Computation A+ ISWC IEEE International Symposium on Wearable Computing A+ IWQoS IFIP International Workshop on QoS A+ JCDL ACM Conference on Digital Libraries A+ KR International Conference on Principles of KR Reasoning A+ LICS IEEE Symposium on Logic in Computer Science A+ MOBICOM ACM International Conferencem on Mobile Computing and Networking A+ NIPS Advances in Neural Information Processing Systems A+ OOPSLA ACM Conference on Object Oriented Programming Systems Languages and Applications A+ OSDI Usenix Symposium on Operating Systems Design and Implementation A+ PERCOM IEEE International Conference on Pervasive Computing and Communications A+ PERVASIVE International Conference on Pervasive Computing A+ PLDI ACM-SIGPLAN Conference on Programming Language Design Implementation A+ PODC ACM Symposium on Principles of Distributed Computing A+ PODS ACM SIGMOD-SIGACT-SIGART Conferenceon Principles of Database Systems A+ POPL ACM-SIGACT Symposium on Principles of Prog Langs A+ RSS Robotics: Systems and Science A+ RTSS Real Time Systems Symp A+ SENSYS ACM Conference on Embedded Networked Sensor Systems A+ SIGCOMM ACM Conference on Applications, Technologies,Architectures, and Protocols for Computer Communication A+ SIGGRAPH ACM SIG International Conference on Computer Graphics and Interactive Techniques A+ SIGIR ACM International Conference on Research and Development in Information Retrieval A+ SIGKDD ACM International Conference on Knowledge Discovery and Data Mining A+ SIGMETRICS ACM SIG on computer and communications metrics and performance A+ SIGMOD ACM Special Interest Group on Management of Data Conference A+ SODA ACM/SIAM Symposium on Discrete Algorithms A+ SOSP ACM SIGOPS Symposium on Operating Systems Principles A+ STOC ACM Symposium on Theory of Computing A+ UAI Conference in Uncertainty in Artifical Intelligence A+ UbiComp Uniquitous Computing A+ VLDB International Conference on Very Large Databases A+ WWW International World Wide Web Conference A+ ACM-HT ACM Hypertext Conf A AH International Conference on Adaptive Hypermedia and Adaptive Web-Based Systems A AID International Conference on AI in Design A AIED International Conference on Artificial Intelligence in Education A AIIM Artificial Intelligence in Medicine A AIME Artificial Intelligence in Medicine in Europe A AiML Advances in Modal Logic A ALENEX Workshop on Algorithm Engineering and Experiments A ALIFE International Conference on the Simulation and Synthesis of Living Systems A AMAI Artificial Intelligence and Maths A AMIA American Medical Informatics Annual Fall Symposium A AOSD Aspect-Oriented Software Development A APPROX International Workshop on Approximation Algorithms for Combinatorial Optimization Problems A ASAP International Conference on Apps for Specific Array Processors A ASE Automated Software Engineering Conference A ASIACRYPT International Conference on the Theory and Applications of Cryptology A ASIST Annual conference of American Society for Information Science and Technology A ATVA International Symposium on Automated Technology for Verification and Analysis A AVSS Advanced Video and Signal Based Surveillance A BMVC British Machine Vision Conference A BPM International Conference in Business Process Management A CADE International Conference on Automated Deduction A CAIP International Conference on Computer Analysis of Images and Patterns A CaiSE International Conference on Advanced Information Systems Engineering A CANIM Computer Animation A CASES International Conference on Compilers, Architecture, and Synthesis for Embedded Systems A CBSE International Symposium Component-Based Software Engineering A CC International Conference on Compiler Construction A CCC IEEE Symposium on Computational Complexity A CCGRID IEEE Symposium on Cluster Computing and the Grid A CDC IEEE Conference on Decision and Control A CGI Computer Graphics International A CGO Code Generation and Optimization A CIDR Conference on Innovative Data Systems Research A CIKM ACM International Conference on Information and Knowledge Management A CLUSTER Cluster Computing Conference A COCOON International Conference on Computing and Combinatorics A CogSci Annual Conference of the Cognitive Science Society A COLING International Conference on Computational Liguistics A CONCUR International Conference on Concurrency Theory A CoNLL Conference on Natural Language Learning A CoopIS International Conference on Cooperative Information Systems A Coordination International Conference on Coordination Models and Lanuguages A CP International Conference on Principles Practice of Constraint Programming A CPAIOR International Conference on Integration of Artificial Intelligence and Operations Research Techniques in Constraint Programming for Combinatorial Optimization Problems A CSB IEEE Computational Systems Bioinformatics Conference A CSCW ACM Conference on Computer Supported Cooperative Work A CSFW IEEE Computer Security Foundations Workshop A CSSAC Cognitive Science Society Annual Conference A CVPR IEEE Conference on Computer Vision and Pattern Recognition A DAC Design Automation Conf A DAS International Workshop on Document Analysis Systems A DASFAA Database Systems for Advanced Applications A DATE IEEE/ACM Design, Automation Test in Europe Conference A DEXA International Conference on Database and Expert Systems Applications A DIGRA Digital Games Research Conference A DIS Designing Interactive Systems A DISC International Symposium on Distributed Computing (ex WDAG) A DocEng ACM Symposium on Document Engineering A DOOD Deductive and Object-Oriented Databases A DUX Design for User Experience A EAAI Engineering Applications of Artifical Intelligence A EACL European Association of Computational Linguistics A EASE International Conference on Evaluation and Assessment in Software Engineering A EC ACM Conference on Electronic Commerce A ECAI European Conference on Artificial Intelligence A ECCV European Conference on Computer Vision A ECDL European Conference on Digital Libraries A ECIS European Conference on Information Systems A ECML European Conference on Machine Learning A ECOOP European Conference on object-oriented programming A ECRTS Euromicro Conference on Real-Time Systems A ECSCW European Conference on Computer Supported Cooperative Work A ECWeb International Conference on Electronic Commerce and Web Technology A EDBT Extending Database Technology A EKAW International Conference on Knowledge Engineering and Knowledge Management A EMMSAD Exploring Modelling Methods in Systems Analysis and Design A EMNLP Empirical Methods in Natural Language Processing A EMSOFT ACM Conference on Embedded Software A ESA European Symposium on Algorithms A e-science IEEE International Conference on e-science and Grid Computing A ESEM Internation Symposium on Empirical Software Engineering and Measurement A ESOP European Symposium on Programming A ESORICS European Symposium on Research in Computer Security A ESQARU International Joint Conference on Qualitative and Quantitative Practical Reasoning A ESWC European Semantic Web Conference A EuroCOLT European Conference on Computational Learning Theory A EUROGRAPH European Graphics Conference A EuroPar International Conference on Parallel Processing A EuroPVM/MPI Euopean PVM/MPI Uswers' Group Conference A EuroSpeech European Conference on Speech Communication and Technology A EuroSPI European SPI A EuroSys Eurosys Conference A EWSN European conference on Wireless Sensor Networks A FCCM IEEE Symposium on Field Programmable Custom Computing Machines A FLOPS International Symposium on Functional and Logic Programming A FME Formal Methods Europe A FODO International Conference on Foundation on Data Organization A FORTE IFIP Joint Int'l Conference on Formal Description Techniques and Protocol Specification, Testing, And Verification A FPSAC Formal Power Series and Algebraic Combinatorics A FSE ACM Conference on the Foundations of Software Engineering (inc ESEC-FSE when held joInternationaly ) A FSR International Conference on Field and Service Robotics A FSTTCS Foundations of Software Technology Theoretical Computer Science A FUZZ-IEEE IEEE International Conference on Fuzzy Systems A GD Graph Drawing A Grid International Conference on Grid Computing A Group ACM Special Interest Group on Supporting Group Work (was SIGGRoup) A HiPC International Conference on High Performance Computing A HOTCHIPS (HCS) Symposium on High Performance Chips A HOTNETS ACM Workshop on Hot Topics in Networks A HotOS USENIX Workshop on Hot Topics in Operating Systems A HPDC IEEE International Symposium on High Performance Distributed Computing A Hypertext ACM Conference on Hypertext and Hypermedia A IC3N International Conference on Computer Communication and Networks A ICADL International Conference of Asian Digital Libraries A ICALP International Colloquium on Automata, Languages and Programming A ICALT IEEE International Conference on Advanced Learning Technologies A ICARCV International Conference on Control, Automation, Robotics and Vision A ICC IEEE International Conference on Communications A ICCAD International Conference on Computer-Aided Design A ICCL IEEE International Conference on Computer Languages A ICCS International Conference on Computational Science A ICCS International Conference on Conceptual Structures A ICDAR IEEE International Conference on Document Analysis and Recognition A ICDCS IEEE International Conference on Distributed Computing Systems A ICDT International Conference on Database Theory A ICECCS IEEE International Conference on Engineering and Complex Computer Systems A ICER International Computing Education Research Workshop A ICGG International Conference on Grid Computing A ICIAP International Conference on Image Analysis and Processing A ICIP IEEE International Conference on Image Processing A ICLP International conference on Logic Programming A ICMAS International Conference on Multi Agent Systems A ICNN IEEE International Conference on Neural Networks A ICNP International Conference on Network Protocols A ICONIP International Conference on Neural Information Processing A ICPP International Conference on Parallel Processing A ICPR International Conference on Pattern Recognition A ICS ACM International Conference on Supercomputing A ICSC2 International Computer Symposium Conference A ICSM International. Conferenceon Software Maintenance A ICSOC International Conference on Service Oriented Computing A ICSP International Conference on Software Process A ICSPC International Conference on Security in Pervasive Computing A ICSR IEEE International Conference on Software Reuse A ICTL International Coference on Temporal Logic A IDA Intelligent Data Analysis A IEEE-Alife IEEE International Symposium on Artificial Life A IEEE-CEC Congress on Evolutionary Computation A IEEE-MM IEEE International Conference on Multimedia Computing and Systems A IEEETKDE IEEE Transactions on Knowledge and Data Engineering A IFIP_WG 11.3 IFIP WG 11.3 Working Conference on Data and Applications Security (was IFIP-DBSEC) A IJCNLP International Joint Conference on Natural Language Processing A IJCNN IEEE International Joint Conference on Neural Networks A ILPS International Logic Programming Symposium A IM IFIP/IEEE Integrated Management (odd years sharing with NOMS) A IMC Internet Measurement Conference A INTERACT IFIP International Conference on Human-Computer Interaction A IPCO MPS Conference on integer programming combinatorial optimization A IPDPS IEEE International Parallel and Distributed Processing Symposium (was IPPS and SPDP) A ISAAC International Symposium on Algorithms and Computation A ISD International Conference on Information Systems Development A ISESE International Symposium on Empirical Software Engineering A ISMB Intelligent Systems in Molecular Biology A ISR International Symposium on Robotics A ISSCC IEEE International Solid-State Circuits Conference A ISSR International Symposium on Robotics Research A ISSRE International Symposium on Software Reliability Engineering A ISSTA Internation Symposium on Software Testing and Analysis A ISTA International Conference on Information Systems Technology and its Application A ISTCS Israel Symposium on Theory of Computing and Systems A ISWC International Semantic Web Conference A ITiCSE Annual Conference on Integrating Technology into Computer Science Education A ITS International Conference on Intelligent Tutoring Systems A IUI Intelligent User Interfaces A IVCNZ Image and Vision Computing Conference A JELIA Logics in Artificial Intelligence, European Conference A JICSLP/ICLP/ILPS International Conference/Symposium on Logic Programming (Joint) A K-CAP Knowledge capture A LCN IEEE Conference on Local Computer Networks A LCTES ACM SIGPLAN Conference on Languages, Tools, and Compilers for Embedded Systems A LPAR Logic Programming and Automated Reasoning A LPNMR International Conference on Logic Programming and Non-monotonic Reasoning A MASCOTS Symposium Model Analysis Simulation of Computer Telecommunications Systems A MASS IEEE International Conference on Mobile Ad-hoc and Sensor Systems A MassPar Symposium on Frontiers of Massively Parallel Processing A MICRO International Symposium on Microarchitecture A Middleware ACM/IFIP/USENIX th International Middleware Conference A MIR ACM SIGMM International Woekshop on Multimedia Information Retrieval A MMCN ACM/SPIE Multimedia Computing and Networking A MMSP International Workshop on Multimedia Signal Processing A MOBIHOC ACM Symposium of mobile and ad hoc computing A MobileHCI International Conference on Human-Computer Interaction with Mobile Devices and Services A Mobiquitous International Conference on Mobile and Ubiquitous Systems: Networks and Services A Mobisys ACM SIGMOBILE International Conference on mobile systems, applications and services A MODELS International Conference on the Unified Modeling Language (formerly UML) A MSWIM ACM/IEEE International Conference on Modelling, Analysis and Simulation of Wireless and Mobile Systems A NAACL North American Association for Computational Linguistics A NDSS Usenix Networked and Distributed System Security Symposium A Net Object Days Includings MATES, ENASE etc. A NetStore Network Storage Symposium A Networking 200X IFIP Networking 200X A NOSSDAV Network and OS Support for Digital A/V A NSDI Symposium on Networked Systems, Design and Implementation A OPENARCH IEEE Conference on Open Architecture and Network Programming A P2P IEEE International Conference on Peer-toPeer Computing A PACT International Conference on Parallel Architecture and Compilation Techniques A PADL Practical Aspects of Declarative Languages A PADS ACM/IEEE/SCS Workshop on Parallel Distributed Simulation A PAKDD Pacific-Asia Conference on Knowledge Discovery and Data Mining A PDC Participatory Design Conference A PEPM ACM SIGPLAN Workshop on Partial Evalutation and Program Manipulation A PERFORMANCE IFIP International Symposium on Computing Performance, Modelling, Measurement and Evaluation A PG Pacific Graphics A PKDD European Conference on Principles and Practice of Knowledge Discovery in Databases A PPoPP Principles and Practice of Parallel Programming A PPSN Parallel Problem Solving from Nature A PRO-VE IFIP Working Conferences on Virtual Enterprises A PT Performance Tools - International Conference on Model Techniques Tools for CPE A QoSA Conference on the Quality of Software Architectures A QSIC International Quality Software Conference A RAID Symposium on Recent Advances in Intrusion Detection A RANDOM International Workshop on Randomization and Computation A RE IEEE Requirements Engineering A RECOMB Annual International Conferenceon Comp Molecular Biology A RoboCup Robot Soccer World Cup A RST International Conference on Reliable Software Technologies A RTA International Conference on Rewriting Techniques and Applications A RTAS IEEE Real-Time and Embedded Technology and Applications Symposium A SP IEEE Symposium on Security and Privacy A SARA Symposium on Abstraction, Reformulation and Approximation A SAS Static Analysis Symposium A SAT International Conference on Theory and Applications of Satisfiability Testing A SCA ACM SIGGRAPH/Eurographics Symposium on Computer Animation A SCC IEEE International Conference on Services Computing A SCG ACM Symposium on Computational Geometry A SCOPES International Workshop on Software and Compilers for Embedded Systems A SDM SIAM International Conference on Data Mining A SDSDI Unix Symposium on Operating Systems Design and Implementation A SIGCSE ACM Special Interest Group on Computer Science Education Conference A SMS IEEE International Symposium on Software Metrics A SPAA Symposium on Parallelism in Algorithms and Architectures A SPICE Software Process Improvement and Capability Determination A SRDS Symposium on Reliable Distributed Systems A SSDBM International Conference on Scientific and Statistical Data Base Management A SSPR Structural and Statistical pattern recognition A SSR ACM Symposium on Software Reusability A SSTD International Symposium on Spatial Databases A STACS Symposium on Theoretical Aspects of Computer Science A SUPER ACM/IEEE Supercomputing Conference A SWAT Scandinavian Workshop on Algorithm Theory A TABLEAUX International Conference on Theorem Proving with Analytic Tableaux and Related Methods A TACAS Tools and Algorithms for Construction and Analysis of Systems A TARK Theoretical Aspects of Rationality and Knowledge A TIME International Symposium on Temporal Representation and Reasoning A TREC Text Retrieval Conference A UIST ACM Symposium on User Interface Software and Technology A UM International Conference on User Modelling A USENIX USENIX Annual Technical Conference A USENIX-Security Usenix Security A USITS Unix Symposium on Internet Technologies A VCIP SPIE International Conference on Visual Communications and Image Processing A VIS IEEE Visualization A VL/HCC IEEE Symposium on Visual Languages and Human-Centric Computing (was VL) A VLSI IEEE Symposium VLSI Circuits A VMCAI Verification, Model Checking and Abstract Interpretation A WACV IEEE Workshop on Apps of Computer Vision A WADS Workshop on Algorithms and Data Structures A WICSA EEE/IFIP Working Conference on Software Architecture A WISE International Conference on Web Information Systems Engineering A WoWMoM IEEE International Symposium on a World of Wireless, Mobile and Multimedia Networks A WPHOL International Conference on Theorem Proving in Higher Order Logics A AAAAECC International Symposium on Applied Algebra, Algebraic Algorithms and Error-Correcting Codes B AAIM Conference on Algorithmic Aspects in Information and Management B ACAL Australian Conference on Artificial Life B ACCV Asian Conference on Computer Vision B ACE Australasian Conference on Computer Science Education B ACIS Australasian Conference on Information Systems B ACISP Australasian Conference on Information Security and Privacy B ACIVS Advanced Concepts for Intelligent Vision Systems B ACOSM Australian Conference on Software Metrics B ACRA Australian Conference on Robotics and Automation B ACS Australian Supercomputing Conf B ACSAC Australasian Computer Systems Architecture Conference (now Asia-Pacific Computer Systems Architecture Conference) B ACSC Australasian Computer Science Conference B ACSD Application of Concurrency to System Design B ADBIS Symposium on Advances in DB and Information Systems B ADC Australasian Database Conference B ADCS Australasian Document Computing Symposium B ADHOC-NOW International Conference on AD-HOC Networks Wireless B ADTI International Symposium on Advanced DB Technologies and Integration B AI*IA Congress of the Italian Assoc for AI B AINA International Conference on Advanced Information Networking and Applications (was ICOIN) B AISP Australasia Conference on Information Security and Privacy B ALEX Algorithms and Experiments B ALG ENGG Workshop on Algorithm Engineering B ALP International Conference on Algebraic and Logic Programming B ALTAW Australasian Language Techology Association Workshop B AMCIS Americas Conference on Information Systems B AMOC Asian International Mobile Computing Conferrence B ANALCO Workshop on Analytic Algorithms and Combinatorics B ANNIE Artificial Neural Networks in Engineering Conference B ANTS International Workshop on Ant Colony B ANZIIS Australian and New Zealand Intelligent Information Systems Conference B AofA Conference on Analysis of Algorithms B AOIR Internet Research B AOIS Agent-Oriented Information Systems Workshop B AOSE Agent-Oriented Software Engineering Workshop B APAMI Asia Pacific Association for Medical Informatics Conference B APBC Asia-Pacific Bioinformatics Conference B APCC IEEE Asia Pacific Conference on Communications B APCHI Asia-Pacific Conference on Computer Human Interaction B APLAS ASIAN Symposium on Programming Languages and Systems B APNOMS Asia-Pacific Network Operations and Management Symposium B APSEC Asia-Pacific Software Engineering Conference B APWEB Asia Pacific Web Conference B ARA National Conference of the Australian Robot Association B ARES International Conference on Availability, Reliability and Security B ASADM Chicago ASA Data Mining Conference- A Hard Look at DM B ASIAN Asian Computing Science Conference B ASS IEEE Annual Simulation Symposium B ASWEC Australian Software Engineering Conference B ASWEC Australian Software Engineering Conference B AUIC Australasian User Interface Conference B AusAI Australian Joint Conference on Artificial Intelligence B AusDM Australian Data Mining Conference B AusWIT Australian Women in IT Conference B AWOCA Australasian Workshop on Combinatorial Algorithms B AWRE Australian Workshop on Requirements Engineering B AWTI Argentine Workshop on Theoretical Informatics B BASYS IEEE/IFIP International Conference on Information Technology for Balanced Automation Systems B BNCOD British National Conference on Databases B Broadnets International Conference on Broadband Communications, Networks and Systems B CAAI Canadian Artificial Intelligence Conference B CAAN Workshop on Combinatorial and Algorithmic Aspects of Networking B CACSD IEEE/IFAC Joint Symposium on Intelligent Control B CAIA Conference on Artificial Intelligence for Applications B CATS Computing: The Australasian Theory Symposium B CCA IEEE International Conference on Control Applications B CCCG Canadian Conferenceon Computational Geometry B CCW IEEE Computer Communications Workshop B CD IFIP/ACM Working Conference on Component Deployment B CEAS International Conference on Email and Anti-Spam B CEC/EEE IEEE Conference on Electronic Commerce Technology and Enterprise Computing, e_Commerce and e-Services B CGA Workshop on Computational Geometry and Applications B CHES Cryptographic Hardware and Embedded Systems B CIAA International Conference on Implementation and Application of Automata B CIAC Italian Conference on Algorithms and Complexity B CICLING Conference on Intelligent Text Processing and Computational Linguistics B CISTM Conference on Information Science, Technology and Management B CITB Complexity and information-theoretic approaches to biology B COCOA Conference on Combinatorial Optimization and Applications B COMAD International Conference on Management of Data B COMMONSENSE Symposium on Logical Formalizations of Commonsense Reasoning B CompLife International Symposium on Computational Life Science B COMPSAC International Computer Software and Applications Conference B CONPAR International Conference on Vector and Parallel Processing B CPM Combinatorial Pattern Matching B CSL, 2 th Annual Conference on Computer Science Logic B DAC Digital Arts and Culture B DAFX Digital Audio Effects Conference B DAIS IFIP International Conference on Distributed Applications and Inoperable Systems B DaWaK Data Warehousing and Knowledge Discovery B DBIS International Baltic Conference on Databases and Information Systems B DCOSS IEEE Conference on Distributed Computing in Sensor Systems B DICTA Australian Pattern Recognition Society Conference B DISRA IEEE International Workshop on Distributed Intelligent Simululation and Real-Time Applications B DITW Internationale Tagung Wirtschaftsinformatik B DLT Developments in Language Theory B DMTCS International Conference on Discrete Mathematics and Theoretical Computer Science B DNA Meeting on DNA Based Computers B DSOM IFIP/IEEE International Workshop on Distributed Systems Operations and Management B DS-RT Distributed Simulation and Real-time Applications B DSS Distributed Simulation Symposium B DX Diagnostics B DYSPAN IEEE Dynamic Spectrum Access Networks B ECAIM European Conference on AI in Medicine B ECAL European Conferene on Artificial Life B ECBS Annual IEEE International Conference and Workshop on the Engineering of Computer Based Systems B ECCB European Conference on Computational Biology B ECEG Eurpopean Conference on e-Government B ECIME European Conference on Information Management and Evaluation B ECIR European Conference on Information Retrieval B ED-MEDIA World Conference on Educational Multimedia, Hypermedia and Telecommunications B EDOC The Enterprise Computing Conference B EEE IEEE e-technology, e-service and e-commerce conference B EGC European Grid Conference B Emnets IEEE Embedded Sensor Networks Worskhop B EPIA Portuguese Conference on Artificial Intelligence B ER International Conference on Conceptual Modeling B ERCIM/CSCLPERCIM Annual Workshop on Constraint Solving and Contraint Logic Programming B ESEA Euromicro International Conference on software engineering and applications B ESEC European Software Engineering Conference B ESM European Simulation Multiconference B ESS European Simulation Symposium B EuAda Ada-Europe International Conference on Reliable Software Technologies B EUROGP European Conference on Genetic Programming B EuroPDP EUROMICRO Conference on Parallel, Distributed and Network-Based processing B EUSIPCO European Signal Processing Conference B EWLR European Workshop on Learning Robots B FASE Fundamental Approaches to Software Engineering B FCKAML French Conference on Knowledge Acquisition Machine Learning B FCT Fundamentals of Computation Theory B FEM International Conference on Formal Engineering Methods B FEWFDB Far East Workshop on Future DB Systems B FIE Frontiers in Education B FINCRY Financial Cryptography B FOSSACS Foundations of Software Science and Computational Structures B FSENCRY Fast Software Encryption B FTP International Workshops on First-Order Theorem Proving B FTRTFT Formal Techniques in Real-Time and Fault Tolerant Systems B FUN Conference on fun with algorithms B GECCO Genetic and Evolutionary Computations B GLOBECOM IEEE Global Telecommunications Conference B GMP Geometry Modeling and Processing B GPCE International Conference on Generative Programming and Component Engineering B HASE IEEE International Symposiumon High Assurance Systems Engineering B HICSS Hawaii International Conference on System Sciences B HLT Human Language Technologies B HPCN International Conference on High Performance Computing and Networking B HPSR IEEE Workshop on High Performance Switching and Routing B IAAI Innovative Applications in AI B ICA3PP IEEE International Conference on Algorithms and Architectures for Parallel Processing B ICAIL International Conference on Artificial Intelligence and Law B ICANN International Conference on Artificial Neural Networks B ICASSP IEEE International Conference on Acoustics, Speech and Signal Processing B ICATPN International Conference on the Application and Theory of Petri Nets B ICCB International Conference on Case-Based Reasoning B ICCBSS IEEE International Conference on COTS-Based Software Systems B ICCE International Conference on Computers in Education B ICCI International Conference on Computing and Information B ICDCN IEEE International Conference on Distributed Computing and Networking B ICEBE IEEE Conference on e-Business Engineering B ICEIMT International Confernce on Enterprise Integration and Modelling Technology B ICEIS International Conference on Enterprise Information Systems B ICGA International Conference on Genetic Algorithms B ICGT International Conference on Graph Transformations B ICICSec International Conference on Information and Communications Security B ICME IEEE International Conference on Multimedia Expo B ICMS International Conference on Multiagent Systems B ICON IEEE International Conference on Networks B ICPADS IEEE International Conference on Parallel and Distributed Systems B ICRA IEEE International Conference on Robotics and Automation B ICSC International Computer Science Conference B ICSEA International Conference on Software Engineering Advances B ICSEC International Conferenceon Information and Communications Security B IC-Soft International Conference on Software and Data Technologies B ICTAC International Colloquium on Theoretical Ascpects of Computing B ICTAI IEEE International Conference on Tools with Artificial Intelligence B ICWS IEEE International Conference on Web Services B IDEAS International Database Engineering and Applications Symposium B IE Interactive Entertainment B IEAAI International Conference on Industrial and Engineering Applications of Artificial Intelligence and Expert Systems B IEEE RFID IEEE International Conference on Remote Frequency Identification B IEEE-IV Intelligent Vehicles Conference B IFM Integrated Formal Methods B IFSA IFSA World Congress B IJCNLP2 International Joint Conference on Computational Linguistics B ILP Inductive Logic Programming B IN IEEE Intell Network Workshop B INBS IEEE International Symposium on Intelleligence in Neural Biological Systems B INET Internet Society Conference B INFH Workshop on Information Hiding B InSITE Informing Science and IT Education Conference B IPCCC IEEE International Performance Computing and Communications Conference B IRIS Information Systems Research Seminar in Scandinavia B IRMA Information Resources Management Association International Conference B IROS IEEE/RSJ International Conference on Intelligent Robots and Systems B ISCC IEEE Symposium on Computers Communications B ISCIS International Symposium on Computer and Information Sciences B ISEAT Integration of Software Engineering and Agent Technology B ISIC IEEE International Symposium on Intelligent Control B ISMM International Symposium on Memory Management B ITC IEEE International Test Conference B IWANN International Work-Conference on Artificial and Natural Neural Networks B IWCASE International Workshop on Cumpter-Aided Software Eng B IWCMC ACM International Wireless Communications and Mobile Computing Conference B IWPEC International Workshop on Parameterized and Exact Computation B JCSC Journal of Computing Science in Colleges (conference proceedings) B JMLC Joint Modular Languages Conference B KES International Conference on Knowledge-Based and Intelligent Information and Engineering Systems B KI German AI conference B Koli Calling Baltic Sea Conference on Computing Education Research B LATIN International Symposium on Latin American Theoretical Informatics B LDTA Language Descriptions, Tools and Applications B LENLS Logic Engineering of Natural Language Semantics B LFCS Logical Foundations of Computer Science B LOPSTR International Symposium on Logic-based Program Synthesis and Transformation B LPMA International Workshop on Logic Programming and Multi-Agents B M2VIP Mechatronics and Machine Vision in Practice B MATES German conference on Multi-Agent system Technologies B MCU Universal Machines and Computations B MDM International Conference on Mobile Data Access/Management (MDA/MDM) B MEDINFO World Congeress on Medical Informatics B MEGA Methods Effectives en Geometrie Algebrique B MFCS Mathematical Foundations of Computer Science B MLMI Joint workshop on Multimodal Interaction and Related Machine Learnig Algorithms B MMM Multimedia Modelling B MPC Mathematics of Program Construction B MPCS International Conference on Massively Parallel Computing Systems B MPPOI Massively Parallel Processing Using Optional Interconnections B NCC National Conference Communications B NGDB International Symposium on Next Generation Data Base Systems and Applications B NOMS IEEE Network Operations and Management Symposium B NORDCHI Norwegian Computer Human Interaction B ODP IFIP International Conference on Open Distributed Processing B OPODIS International Conference on Principles of Distributed Systems B OZCHI Australian Computer Human Interaction Conference B PACES Pacific Asian Conference on Expert Systems B PACIS Pacific Asia Conference on Information Systems B PACLIC Pacific Asia Conference on Language, Information and Computation B PACLING Conference of the Pacific Association for Computational Linguistics B PAM Passive and Active Measurement Conference B PASTE ACM SIGSOFT Workshop on Program Analysis for Software Tools and Engineering B PATAT Practice and Theory of Automated Timetabling B PDCAT International Conference on Parallel and Distributed Computing, Applications and Technologies B PIMRC IEEE International Symposium on Personal and Indoor Mobile Radio Conference B PKAW Pacific Rim Knowledge Acquisition Workshop B PKC Public Key Cryptosystems B PLILP Symposium on Programming Language Implementation and Logic Programming B PPDP International Conference on Principles and Practice of Declarative Programming B PPIG Annual PPIG Workshop B PRICAI Pacific Rim International Conference on Artificial Intelligence B PRIMA Pacific Rim International Workshop on Multi-Agents B PROFES Product Focused Software Process Improvement B PROSim International Workshop on Software Process Simulation and Modeling B PSI Ershov conference B Qsine International Conference on Quality of Service in Heterogeneous Wired/Wireless Networks B QualIT International Conference on Qualitative Research in IT IT in Qualitative Research B REFSQ International Workshop on Requirements Engineering: Foundation for Software Quality B RIDE International Workshop on Research Issues in Data Engineering B RSA RSA Conference (a security conference) B RTCSA International Conference on Real-Time and Embedded Computing Systems and Applications B SAC ACM Symposium on Applied Computing B SAFECOMP International Conference on Computer Safety, Reliability and Security B SAFEProcess IFAC Symposioum on Fault Detection, Supervision and Safety of Technical Processes B SAGA Symposium on Stochastic Algorithms, Foundations, and Applications B SBBD Brazilian Symposium on Databases B SCAI Scandinavian Conference on Artifical Intelligence B SCRAA Smart Card Research and Advanced Application Conference B SCSC Summer Computer Simulation Conference B SEAL Asia-Pacific Conference on Simulated Evolution Learning B SEC IFIP International Information Security Conference B SECON IEEE Conference on Sensor, Mesh and Ad Hoc Communications and Networks B SecureComm IEEE/CreateNet International Conference on Security and Privacy in Communication Networks B SECURITY Usenix Security Symposium B SEEP International Conference on Software Engineering: Education and Practice B SEFM International Conference on Software Engineering and Formal Methods B SEKE International Conference on Software Engineering and Knowledge Engineering B SEQAPP International Conference on Sequences and their Applications B SIGCHI-NZ International NZ Conference on Computer-Human Interaction B SIGOPS-EW ACM SIGOPS European Workshops B SIROCCO Colloquium on Structural Information and Communication Complexity B SMC IEEE Conference on Systems, Man and Cybernetics B SOFTCOMM Conferenceon Software in Tcomms and Comp Networks B SoMeT International Conference on Software Methods and Tools B SPEC Workshop on Security and Privacy in E-commerce B SPICIS Singapore International Conferenceon Intelligent System B SPIRE International Symposium on String Processing and Information Retrieval B SST Australasian Speech Science Technology B STEP Software Technology and Engineering Practice Conference B SWDC International Conference on Software Development B TOOLS Technology of object-oriented languages and systems B UKAIS UK Academy of Information Systems conference B UKSS United Kingdom Systems Society B UMC Unconventional Models of Computation B UML Unified Modelling Language B VAPP Vector and Parallel Processing B VDB Visual Database Systems B VEE International Conference on Virtual Execution Environments B VTC IEEE Vehicular Technology Conference B WABI Workshop on Algorithms in Bioinformatics B WAE Workshop on Algorithm Engineering B WAIS International Workshop on Artificial Intelligence and Statistics B WCES World Congress on Expert Systems B WCNC IEEE Wireless Communications and Networking Conference B WCNN World Congress on Neural Networks B WCRE IEEE Working Conference on Reverse Engineering B WCSS World Congress on Systems Simulation B WCW Web Caching Workshop B WDAG Workshop on Distributed Algorithms (DISC since 1998) B WG Workshop on Graph Theory B WI IEEE/WIC/ACM International Conference on Web Intelligence B WiOpt International. Symposium on Modeling and Optimization in Mobile, Ad Hoc, and Wireless Networks B WoLLIC Workshop on Logic, Language, Information and Computation B WORDS International Workshop on Object-Oriented Real-Time Dependable Systems B WSC Winter Simulation Conference B AdCom International Conference on Advanced Computing and Communications C AEN IASTED International Conferenceon AI, Exp Sys Neural Networks C AI IASTED International Conference on Applied Informatics C AIA Artificial Intelligence and Applications Conference C AIL International Conference on Artificial Intelligence and Law C AIS Advances in Intelligent Systems C AIS SIGED:IAIM International Academy for Information Management) International Conference on Informatics Education Research C AISAT International Conference on Artificial Intelligence in Science and Technology C AMAST Algebraic Methodology and Software Technology C ANNES Conference on Artificial Neural Networks and Expert systems C APCOMin Application of Computers and Operations Research in the Minerals Industries C APORS Conference of the Association of Asian-Pacific Operational Research Societies C APPIA/GULP/PRODE Joint Conference on Declarative Programming APPIA-GULP-PRODE C AQSDT Symposium on Assessment of Quality S/W Dev Tools C ARTDB Active and Real-Time Database Systems C ARVLSI Advanced Research in VLSI C ASC IASTED International Conference on Artificial Intelligence and Soft Computing C ASM IASTED International Conference on Applied Simulation and Modelling C BC IFIP International Conference on Broadband Communications C BECC Bled Electronic Commerce Conference C BIBE IEEE Bioinformatics and Bioengineering C CAADRIA Conference on Computer Aided Architectural Design Research in Asia C CAINE ISCA International Conference on Computer Applications in Industry and Engineering C CATA International Conference on Computers and their Applications C CATE IASTED International Conference on Computers and Advanced Technology in Education C CCRTS International Command and Control Research and Technology Symposium C CCTAF Intelligent Multimedia, Computing and Communications Technologies and Applications of the Future C CDB Constraint Databases and Applications C CHPC International Conference on High Performance Computing C CIBCB IEEE Symposium on Computational Intelligence in Bioinformatics and Computational Biology C CIEAEM Conference of the Commission Internationale pour l'Etude et l'Amelioration de l'Enseignement des Mathematiques C CIMCA International Conference on Computational Intelligence for Modelling, Control and Automation C CIRSYS International Symposium on Circuits and Systems C CISST International Conference Image Science, Systems and Technology C CODAS International Symposium on Cooperative Database Systems for Advanced Applications C CollECTeR Collaborative Electronic Commerce Technology and Research C COMPDES International Symposium on Computer Design C COMSWARE te-Net/ICST International Conference on COMmunication System softWAre and MiddlewaRE C CPD Constraint Programming Day C CryPAC Cryptography Policy and Algorithms Conference C CSEE Conference on Software Engineering Education C CSICC International CSI Computer Conference C CTAC Computational Techniques and Applications Conference C CxS International Conference on Complex Systems C DASD Symposium on Design, Analysis, and Simulation of Distributed Systems C DASWIS Data Semantics in Web Information Systems C DBPL Databases and Programming Language C Dcw Distributed Communities on the Web Workshop C DICTA/IVCNZ Joint DICTA IVCNZ C DMDW Design and Management of Data Warehouses C DMKD Workshop on Research Issues in Data Mining and Knowledge Discovery C DOLAP International Workshop on Data Warehousing and OLAP C DSAA International Conference on Database Systems for Advanced Applications C DSI Annual Meeting of the Decision Sciences Institute C DSLSE Domain - Specific Languages for Software Engineering C DSTKM International Conference on Decision Support Through Knowledge Management C DTVE Workshop on Database Technology for Virtual Enterprises C DVAT Information Systems Technologies /SPIE Conference on Digital Video Compression Algorithms Techniques C EFIS/EFDBS Engineering Federated Information (Database) Systems C EFTF/IFCS Joint Meeting of the European Frequency and Time Forum and the Institute of Electrical and Electronics Engineers In C EICAR European Institute for Computer Anti-Virus ResearchEICAR Conference C EKM European Conference on Knowledge Management C EMBC The Annual International Conference of the IEEE Engineering in Medicine and Biology Society C EMCIS European Mediterranean Info Systems C EP Annual Conference on Evolutionary Programming C ESCAPE Symposium On Combinatorics, Algorithms, Probabilistic and Experimental Methodologies C ETHICOMP International Conference on the Social and Ethical Impacts of Information and Communication Technologies C EUFIT European Congress on Intelligent Techniques and Soft Computing C EuroBot European Workshop on Advanced Mobile Robots C EWCG European Workshop on Computational Geometry C FATES A Satellite workshop on Formal Approaches to Testing of Software C FIRA FIRA Robot World Congress C FMICS Int. Workshop on Formal Methods for Industrial Critical Systems C FMOOD International Conference on Formal Methods for Open Object-Based Distributed Systems C FMP Formal Methods Pacific C FMSEDS Formal Methods in Software Engineering and Defence Systems Workshop C FOOL International Workshop on Foundations of Object-Oriented Languages C FQAS Flexible Query-Answering Systems C FTJP Workshop on Formal Techniques for Java Programs C FUSION International Conference on Information Fusion C GeoComp International Conference on GeoComputation C GOR Conference on Operations Research C HASKELL Haskell Workshop C HCI International Conference on Human-Computer Interaction C HealthCom International Workshop on Enterprise Networking and Computing in Health Care Industry C HIC Health Informatics Conference C HLPP International workshop on High-level parallel programming and applications C HPC International Conference and Exhibition on High Performance Computing in the Asia-Pacific Region C IADIS AC IADIS International Conference Applied Computing C IAIF International Workshop on Image Analysis and Information Fusion C IAIM Annual Conference of the International Academy for Information Management C IAS IEEE Industry Applications Society Annual Conference C IAT ACM International Conference on Intelligent Agent Technology C IAWTIC International Conference on Intelligent Agents, Web Technologies, and Internet Commerce C IBIMA International Business Information Management C IC International Conference on Internet Computing C ICA IFAC Conference on Automation C IC-AI International Conference on Artificial Intelligence C ICANNGA International Conference on Artificial Neural Networks and Genetic Algorithms C ICAPRDT International Conference on Advances in Pattern Recognition and Digital Techniques C ICCIMA International Conference on Computational Intelligence and Multimedia Applications C ICCIT International Conference on Computer and Information Technology C ICCSA International Conference on Communication Systems and Applications C ICEB International Conference on e-Business C ICEC IEEE International Conference on Evolutionary Computation C ICEComm International Conference on Electronic Commerce C ICEE International Conference on Engineering Education C ICIL International Conference on Intelligent Systems C ICITA International Conference on Information Technology and Applications C ICMSO International Conference on Modelling, Simulation and Optimisation C ICOTA International Conference on Optimization: Techniques And Applications C ICSRIC International Conference on Systems Research, Informatics and Cybernetics C ICSSEA International Conference Software and Systems Engineering and their Applications C ICTT International Conference on Information and Communication Technologies in Tourism C ICVS International Conference on Virtual Storytelling C IDC IEEE Conference on Information, Decision and Control C IDEAL International Conference on Intelligent Data Engineering and Automated Learning C IDFC IDF Congress C iEMSs Intenational Environmental Modelling and Software Society C IFAC International Federation of Automatic Control World Congress C IGARSS IEEE International Geoscience and Remote Sensing Symposium C IICISA International ICSC Congress on Intelligent Systems and Applications C IICS Innovative Internet Computer Systems C IIWAS Information Integration and Web-based Applications and Services C IMSA IASTED International Conference on Internet, Multimedia Systems and Applications C INAP International Conference on Applications of Prolog C Interspeech Interspeech C IPMU International Conference on Information Processing and Management of Uncertainty C IPS IEEE International Conference on Intelligent Processing Systems C IPythC International Python Conference C IRITA International Information Technology in Regional Areas Conference C ISAS-SCI World Multiconference on Systemics, Cybernetics and Informatics C ISAVIIA International Symposium on Audio, Video, Image Processing and Intelligent Applications C ISC IASTED International Conference on Intelligent Systems and Control C ISDSS Conference of the International Society for Decision Support Systems C ISECON Information Systems Education Conference C ISFST International Symposium on Future Software Technology C ISIMP International Symposium on Intelligent Multimedia, Video and Speech Processing C ISITA IEEE International Symposium on Information Theory and Its Applications C ISORC IEEE International Symposium on Object-Oriented Real-Time Distributed Computing C I-SPAN International Symposium on Parallel Architectures, Algorithms and Networks C ISSA International Symposium on Static Analysis C ISSDI International Symposium on Spatial Data Infrastructures C ISSDQ International Symposium on Spatial Data Quality C ISSS International Symposium on System Synthesis C ISW Information Security Workshop C ITHET International Conference on IT Based Higher Education and Training C ITiRA Information Technology in Regional Areas Conference C ITIS Symposium on Information Technology and Information Systems C ITW IEEE Information Theory Workshop on Detection, Estimation, Classification and Imaging C IWC International Web conference C IWCC IEEE International Workshop on Cluster Computing and the Grid C IWDOM International Workshop on Distributed Object Management C IW-MMDBMS Int. Workshop on Multi-Media Data Base Management Systems C JCIS Joint Conference on Information Sciences C JECGI Joint European Conference and Exhibition on Geographical Information C JFPLC International French Speaking Conference on Logic and Constraint Programming C JHPC Workshop On Java For High-Performance Computing C JURIX International Conference on Legal Knowledge-based Systems C KAW Knowledge Acquisition, Modeling and Management Workshop C KDDMBD Knowledge Discovery and Data Mining in Biological Databases Meeting C KDEEW IEEE Knowledge and Data Engineering Exchange Workshop C KDEX Knowledge and Data Engineering Exchange Workshop C KRDB Knowledge Representation Meets Databases C LL Workshop on Logic and Learning C LANMAN IEEE LAN/MAN Workshop C LARC NASA LaRC Formal Methods Workshop C LawTech IASTED International conference Law and Technology C LCCS International Workshop on Logic and Complexity in Computer Science C LI Law via the Internet C LOCALGOS Workshop on Localized Alg. and Protocols for Wireless C LREC Language Resources and Evaluatin Conference C MDDS Mobility in Databases and Distributed Systems C MDSRIA International Workshop on Multimedia Data Storage, Retrieval, Integration and Applications C METRICS (several conferences of these name - can't rank) C MEWS Mining for Enhanced Web Search C MIC IASTED International Conference on Modelling, Identification, and Control C MME IEEE International Conference on Multimedia in Education C MobiDE Workshop on Data Engineering for Wireless and Mobile Access C MODSIM International Congress on Modelling and Simulation C MODSS Multiple Objective Decision Support System Conference C MPOOL Workshop on Multiparadigm Programming with OO Languages C MS IASTED International Conference on Modelling and Simulation C MSO IASTED International Conference on Modelling, Simulation and Optimization C MTNS International Symposium on the Mathematical Theory of Networks and Systems C MVSP Intelligent Multimedia, Video and Speech Processing Symposium C NAFIPS North American Fuzzy Information Processing Society Conference C NAISO NAISO Congress on Autonomous Intelligent System C NCA ? C NCCIS National Conference on Computer and Information Systems C NDB National Database Conference (China) C NeMLaP Joint Conference on New Methods in Language Processing and Computational Natural Language Learning C NFM The Northern Formal Methods Workshops C NHIC National Health Informatics Conference C NLDB Applications of Natural Language to Data Bases C NNSP IEEE International Workshop on Neural Networks for Signal Processing C NPDP Annual IASTED International Conference on Networks, Parallel and Distributed Processing and Applications C NPSC International Conference on Neural, Parallel and Scientific Computations C NRDM Workshop on Network-Related Data Management C OASIS Organisations and Society in Information Systems Workshop C OESS/IOCC/ACOFT Joint OECC, IOCC ACOFT C OODBS Workshop on Object-Oriented Database Systems C OOIS International Conference on Object Oriented Information Systems C ORPA Conference on Operational Research Practice in Africa C OTA Optimization: Techniques And Applications C PI PACES ICIL C PAAM Practical Application of Intelligent Agents and Multi-Agent Technology Conference C PADO Symposium on Programs as Data Objects C PAP/PACT Practical Aspects of PROLOG/Constraint Technology C PARCO Parallel Computing C PAWEC Pacific-Asia Workshop on Electronic Commerce C PCNS SPIE - Conference on Performance and Control of Network Systems C PCS International Picture Coding Symposium C PCW International Parallel Computing Workshop C PDCN IASTED International Conference on Parallel and Distributed Computing and Networks C PDCS IASTED International Conference on Parallel and Distributed Computing and Systems C PDIS Parallel and Distributed Information Systems C PDP International Parallel and Distributed Processing Symposium C PDPTA International Conference on Parallel and Distributed Processing Techniques and Applications C PECCON Joint AUPEC EECON C PHYSDES International Symposium on Physical Design C PMIP IEEE Pacific-Rim Conference on Multimedia C PPCIS Pan Pacific Conference on Information Systems C PSB Pacific Symposium on Biocomputing C PSOR International Conference on Probability, Statistics and Operational Research C PTPC International Workshop on Practice and Theory in Public-Key Cryptography C QuantCom International Conference on Experimental Implementation of Quantum Computation C RFF International Conference on CAD/CAM, Robotics Factories of the Future C RM IASTED International Conference on Robotics and Manufacturing C ROVPIA International Conference on Robotics C RS Annual Convention for Survey, Mapping and Remote Sensing C RTDB Workshop on Real-Time Databases C SARC International Symposium on Automation and Robotics in Construction C SCW IEEE Workshop on Speech Coding C SE IASTED International Conference on Software Engineering C SEA IASTED International Conference on Software Engineering and Applications C SECRYPT International Conference on Information Security and Cryptology C SEET Software Engineering Education and Training Conference C SELCRYP Annual Workshop on Selected Areas in Cryptography C Sensor Networks Sensor Networks C SFP Scottish Functional Programming Workshop C SimTecT Simulation Technology and Training Conference C SInT IEEE International Symposium on Information Theory C SIP IASTED International Conference on Signal and Image Processing C SIRC Spatial Information Research Centre Colloquium C SIST Spatial Information Science and Technology Conference C SITE Society for Information Technology and Teacher Education Conference C SPDS ACM Symposium on Principles of Database Systems C SQC Software Quality Conference C SQM International Conference on Software Quality Management C SREIS Symposium on Requirements Engineering for Information Security C SRIG-ET Software Education Conference C SSC IFAC Conference on System Structure and Control C SSGRR International Conference on Advances in Infrastructure for Electronic Business, Science, and Education on the Internet C SSS Symposium on Stabilization, Safety, and Security of Distributed Systems C STM International Conference on Systems Thinking in Management C SYSSYN International Symposium on System Synthesis C TAKMA Theory and Applications of Knowledge MAnagement C TE International Conference on Technology Education C TENCON IEEE Region Ten Conference C TIDSE Technology for Interactive Digital Storytelling C VDbS IFIP Working Conference on Visual Database Systems C VDEA SPIE Conference on Visual Data Exploration and Analysis C VIIP IASTED International Conference on Visualization, Imaging, and Image Processing C VIP Pan-Sydney Area Workshop on Visual Information Processing C VISUAL International Conference on Visual Information Systems C VLFM Visual Languages and Formal Methods C VLSID International Conference on VLSI Design C VSMM International Conference on Virtual Systems and MultiMedia C W2GIS International Workshop on Web and Wireless Geographical Information Systems C WAIM Interational Conference on Web Age Information Management C WALCOM Workshop on Algorithms and Computation C WAW Workshop on Algorithms and Models for the Web-Graph C WCC World Computer Congress C WCCE World Conference on Computers in Education C WDSI Western Decision Sciences Institute Conference C WebDB International Workshop on the Web and Databases C WEBIST International conference on Web Information Systems and Technologies C WebNet World Conference on the WWW and Internet C WEC International Workshop on Entertainment Computing C WFLP International Workshop on Functional and (Constraint) Logic Programming C WHIS Workshop on Hybrid Intelligent Systems C WICS International Computer Symposium Workshop C WIDM ACM Workshop on Web Information and Data Mangement C WMSCI World Multiconfs on Systems, Cybernetics Informatics C WoPP IEEE International Conference on Parallel Processing Workshops C WORLDCOMP World Congress in Computer Science, Computer Engineering, and Applied Computing C WTASA Workshop on Theoretical and Algorithmic Aspects of Sensor and Ad-hoc Networks C WWCA International Conference on Worldwide Computing and Its Applications C ZB International Conference of Z and B Users C ZUM International Conference of Z Users C ZUSER International Conference of Z Users C Informatics in Education (journal, congress, symposium, etc all under this name - can't rank) C ACAC Australasian Computer Architecture Conference L ACCMCC Australasian Conference on Combinatorial Mathematics and Combinatorial Computing L ACEC Australasian Computers in Education Conference L ACID Algorithms and Complexity in Durham L ACKMIDS Australian Conference for Knowledge Management and Intelligent Decision Support L ACMA Australasian Computer Music Conference L ACNN Australian Conference on Neural Networks L ACSSC Asilomar Conference on Signals, Systems and Computing L AFETE Asia-Pacific Forum on Engineering and Technology Education L AICE Australian Institute of Computer Ethics Conference L AICEC AICEC Conference L AJWIES Australia-Japan Joint Workshop on Intelligent and Evolutionary Systems L AKAW Australian Knowledge Acquisition Workshop L ANZMODS The Modelling and Simulation Society of Australia and New Zealand Meeting L APCHDL Asia Pacific Conference on Hardware Description Languages L APDSI Asia Pacific Decision Science Institute Conference L APRTS Australasian Conference on Parallel and Real-Time Systems L APSEC/ICSC Asia-Pacific Software Engineering Conference and International Computer Science Conference L ARSC Australasian Remote Sensing Conference L ASCILITE Annual Conference of the Australasian Society for Computers in Learning in Tertiary Education L ASDAC Asia Pacific Design Automation Conference L ASIV Australian Symposium on Information Visualisation L ASOR National Conference of The Australian Society for Operations Research L ATNAC Australian Telecommunication Networks and Applications Conference L AUCADC Apple University Consortium Academic Developers Conference L AuCSS Australasian Cognitive Science Society Conference L AURISA Australasian Urban and Regional Information Systems Association Conference L AuRW Australasian Refinement Workshop L AusWeb Australian World Wide Web Conference L AUUG Australian UNIX Users Group National Conference L AUUG/APWEB Joint Australian Unix Users' Group and Asia Pacific World Wide Web Conference L AWOCA Australasian Workshop on Combinatorial Algorithms L AWSA Australian Workshop on Software Architecture L BCS-FMW British Computer Society Formal Aspects of Computing Science Specialist Group Workshop L CanaDAM Canadian Discrete and Algorithmic Mathematics Conference L CEG Computing in Education Group of Victoria Conference L COMPUMOD Australasian Compumod Users' Conference L CSR Computer Science Symposium in Russia L DITAM Doing IT at Melbourne L DIVC Joint Australia and New Zealand Biennial Conference on Digital Image and Vision Computing L FlAIRS Florida Artificial Intelligence Research Society Conference L GCCCE Global Chinese Conference on Computers in Education L ICCC International Conference on Chinese Computing L ICTCS Italian Conference on Theoretical Computer Science L IDC(W) International Database Conference (HK CS) L IDEAW Integrated Data Environments Australia Workshop L INDOCRYPT International Conference on Cryptology in India L MADYMO Australian MADYMO Users Meeting L MATLAB Australian MATLAB Conference L MCDA Australian Workshop on Mobile Computing, Databases and Applications L MCSpo Australian Conference on Mathematics and Computers in Sport L MICC/ISPACS Joint IEEE Malaysia International Conference on Communications and IEEE International Workshop on Intelligent Signal Processing and Communication Systems L NACCQ National Advisory Committee on Computing Qualifications L NORDSEC Nordic Workshop on Secure IT Systems L ODLA Open and Distance Learning Association of Australia Bienniel Forum L PACCS Post-Graduate ADFA Conference on Computer Science L SCSS Australian Workshop on Safety Critical Systems and Software L UWACS University of Western Australia Computer Science Research Conference L WarSec Australian Information Warfare Security Conference L WAWISR Western Australian Workshop on Information Systems Research L WIC Australasian Women in Computing Workshop L
序号 会议名称 会议介绍 代表领域 1 ACM SIGCOMM: ACM Conf on Communication Architectures, Protocols Apps ACM的旗舰会议之一,也是网络领域顶级学术会议,内容侧重于有线网络,每年举办一次,录用率约为10%左右。 网络通信领域 2 IEEE INFOCOM: The Conference on Computer Communications IEEE计算机和通信分会联合年会,由IEEE计算机通信技术委员会和IEEE通信协会联合举办,是信息通信领域规模最大的顶尖国际学术会议,录用率约为16%左右。这个每年一度的会议的主要议题是计算机通信,重点是流量管理和协议。 网络通信领域 3 IEEE International conference on communications IEEE国际通信大会,是IEEE通信学会的两大旗舰会议之一。每年举办一次,录用率约为30%左右。 网络通信领域 4 IEEE Globecom: Global Telecommunications Conference IEEE全球电信会议,IEEE通信学会的两大旗舰会议之一。覆盖包括语音、数据、图像和多媒体通信等热点问题的技术和其它活动。GLOBECOM每年一次,一般都在十一月举行,录用率约为30%-40%。 网络通信领域 5 IEEE ITC: International Test Conference 创始于1970年,是测试领域顶级学术会议,对工业界影响巨大。每年举办一次,近年参会人员规模达到数千人。 网络通信领域 6 IEEE The International Conference on Dependable Systems and Networks IEEE可靠系统和网络会议,是IEEE容错计算技术委员会主办的最重要的国际会议,也是可靠系统和网络领域历史最悠久,地位非常高的学术会议。 网络通信领域 7 ACM MobiCom: International Conference on Mobile Computing and Networking 无线网络领域顶级会议,录用率约为10%,每年举行一次。 无线网络领域 8 ACM SIGMETRICS: Conference on Measurement and Modeling of Computer Systems 偏重于建模和测量的重要国际会议,内容覆盖系统和网络,录用率为10%左右。 网络通信领域 9 MOBIHOC: ACMInternationalSymposiumon MobileAdHocNetworkingandComputing 无线网络领域新兴的重要国际会议,内容侧重于adhoc网络。 无线网络领域 10 IEEE International Conference on Distributed Computing Systems 由IEEE主办,开始于1979年,从84年起每年举办一次。这是分布式计算系统领域中历史最悠久的会议。录用率约为18%左右。 分布式计算系统领域 11 IMC: Internet Measurement Conference 网络测量领域顶级的专业会议 网络测量 12 ICCV: IEEE International Conference on Computer Vision 领域顶级国际会议,录取率20%左右,2年一次,中国大陆每年论文数不超过10篇 计算机视觉,模式识别,多媒体计算 13 CVPR: IEEE Conf on Comp Vision and Pattern Recognition 领域顶级国际会议,录取率25%左右,每年一次,中国大陆每年论文数不超过20篇 模式识别,计算机视觉,多媒体计算 14 ECCV: European Conference on Computer Vision 领域顶级国际会议,录取率25%左右,2年一次,中国大陆每年论文数不超过20篇 模式识别,计算机视觉,多媒体计算 15 DCC: Data Compression Conference 领域顶级国际会议,录取率很低,每年一次,目前完全国内论文极少 数据压缩 16 ICML: International Conference on Machine Learning 领域顶级国际会议,录取率25%左右,2年一次,目前完全国内论文很少 机器学习,模式识别 17 NIPS: Neural Information Processing Systems 领域顶级国际会议,录取率20%左右,每年一次,目前完全国内论文极少(不超过5篇) 神经计算,机器学习 18 ACM MM: ACM Multimedia Conference 领域顶级国际会议,全文的录取率极低,但Poster比较容易 多媒体技术,数据压缩 19 IEEE ICIP: International conference on Image Processing 图像处理领域最具影响力国际会议,一年一次 图像处理 20 IEEE ICME: International Conference on Multimedia and Expo 多媒体领域重要国际会议,一年一次 多媒体技术 21 IEEE VR:IEEE Virtual Reality IEEE虚拟现实会议,每年一次 虚拟现实领域 22 ACM VRST:ACM Virtual Reality Software and Technology 虚拟现实软件与技术ACM年会,一年一次 虚拟现实领域 23 CGI:Computer Graphics International 国际图形学会议,一年一次 图形学领域 24 ACL: The Association for Computational Linguistics 国际计算语言学会年会,是本领域最权威的国际学术会议之一,每年举办一次 计算语言学,自然语言处理 25 COLING: International Conference on Computational Linguistics 计算语言学会议,也是本领域最权威的国际学术会议之一,两年一次 计算语言学,自然语言处理 26 IEEE ICASSP: International Conference on Acoustics, Speech and Signal Processing 是语音和声学信号处理领域最权威的国际学术会议之一,也是图像、视频信号处理领域的权威会议之一,每年举办一次 信号处理 27 IJCNLP: International Joint Conference on Natural Language Processing 自然语言处理亚洲联盟主办的国际会议,是自然语言处理领域亚洲区域最有影响的学术会议,基本是每年举办一次 自然语言处理 28 IEEE/ACM Design Automation Conference 顶级会议,在美国召开 IC设计领域 29 IEEE VLSI Test Symposium 一级会议,在美国召开 测试领域 30 IEEE/ACM Design, Automation and Test in Europe 一级会议,在欧洲召开 设计和测试领域 31 IEEE Asian Test Symposium 一级会议,在亚洲召开 测试领域 32 Ubicomp: International Conference on Ubiquitous Computing 国际普适计算年会,本领域最权威的学术会议之一,每年一次 普适计算 33 PerCom: IEEE International Conference on Pervasive Computing and Communications 本领域最权威的学术会议之一,每年一次 普适计算 34 EUC: The IFIP International Conference on Embedded And Ubiquitous Computing 普适计算与嵌入式系统峰会,一年一次,不仅仅是学术讨论,也有工业界和政府代表参加 普适计算与嵌入式系统 35 ICPS: IEEE International Conference on Pervasive Services 普适计算与服务会议,一年一次 普适计算 36 SenSys,ACM Conference on Embedded NEtworked Sensor Systems ACM主办传感器网络最有影响力的会议,由SIGCOMM, SIGMOBILE, SIGARCH, SIGOPS, SIGMETRICS, SIGBED等ACM的Special Interest Groups提供学术资助。从2003年开始,已经连续举办4届:03年收录24篇;04年收录21篇;05收录21篇文章;06年24篇收录文章。目前只能查到2004年的录用率,为14.5%。 传感器网络 37 SECON, IEEE Communication Society Conference on Sensor and Ad Hoc COmmunications and Networks, 由IEEE发起的会议,基本每年举行一次。近三年的录取率(04,05,06)分别为18.1% 27.2%和25.9% 传感器网络 38 MASS, IEEE International Conference on Mobile Ad hoc and Sensor Systems 由IEEE、DARPA、 NSF和Army Research Office 发起的国际会议,基本每年举行一次。2006年录用率24% 传感器网络 39 The International Conference for High Performance Computing and Communication 每年11月举行(始于1989年), Conference on High Performance Networking and Computing , http://www.sc-conference.org/ 高性能计算 40 CLUSTER 4, IEEE Int’l Conf. on Cluster Computing, http://grail.sdsc.edu/cluster2004/ 高性能计算 41 HPDC-, th IEEE Int’l Symp. on High-Performance Distributed Computing, Honolulu. http://hpdc13.cs.ucsb.edu 高性能计算 42 NASA/IEEE Conference on Mass Storage Systems and Technologies (MSST4) , 每年一次,http://storageconference.org/ 高性能计算 43 SuperComputing:The International Conference for High Performance Computing and Communications 高性能计算机方向公认的高水平会议之一,第一届会议是1988年,每年11月举行,4、5月份投稿。会议内容包括technical and education programs,workshops,tutorials以及展览的一系列活动供与会者参加。SuperComputing“is the one place that attendees can see tomorrow's technology being used to solve world-class challenge problems today”。官方网站为http://www.sc-conference.org 高性能计算 44 IEEE Int'l Conf. on Cluster Computing 该会议一般会以Cluster+年份作为会议名称,比如Cluster 2005。该会议主要讨论商业集群相关技术,包括“To achieve higher performance, scalability, and usability, research and development challenges remain in virtually all areas of cluster computing, including middleware, networking, algorithms and applications, resource management, platform deployment and maintenance, and integration with grid computing”。每年9月份举行,4、5月份投稿。 高性能计算 45 International Conference on Distributed Computing Systems 由IEEE主办,开始于1979年,从84年起每年举办一次。这是分布式计算系统领域中历史最悠久的会议。ICDCS provides a forum for engineers and scientists in academia, industry, and government to present and discuss their latest research findings on a broad array of topics in distributed computing. 高性能计算 46 IEEE Int'l Symp. On High Performance Distributed Computing This conference is“a forum for presenting the latest research findings on the design and use of highly networked systems for computing, collaboration, data analysis, and other innovative tasks”. 每年6、7月份举行,2月份截稿,3月底确定 高性能计算 47 International Conference for High Performance Computing and Communications (IEEE/ACM Supercomputing Conference) 高性能计算领域的顶级会议,全世界从事高性能计算事业的每年一次的最重要的盛会之一。每年12月份召开,会上发布TOP500的下半年排名。 高性能计算 48 ACM International Conference on Supercomputing 高性能计算领域的顶级会议,全世界从事高性能计算事业的每年一次的最重要的盛会之一。每年6月份召开,会上发布TOP500的上半年排名。 高性能计算 49 IEEE International Parallel Distributed Processing Symposium IEEE和ACM SIGARCH发起的并行处理国际会议。每年一次 高性能计算 50 IEEE International Conference on Parallel Processing IEEE发起的并行处理国际会议。每年一次 高性能计算 51 IEEE International Conference on High Performance Computing IEEE发起的高性能计算国际会议。每年一次在印度举行。 高性能计算 52 ACM SIGMETRICS International Conference on Measurement and Modeling of Computer Systems. Performance presents papers on the development and application of state of the art, broadly applicable analytic, simulation, and measurement-based performance evaluation techniques. We are interested in techniques whose aim is to evaluate a system's dependability, security, correctness, or power consumption as well as more traditional performance metrics. Of particular interest is work that furthers the state of the art in performance evaluation methods, or that creatively applies previously developed methods to gain important insights into key design trade-offs in complex computer and communication systems. 高性能计算 53 IEEE Annual Workshops on Workload Characterization. The meeting that began as Workshop on Workload Characterization (WWC) in 1998 is becoming a Symposium. New computer applications and programming paradigms are constantly emerging to complement new and improving technology. The design of next generation microprocessors and computer systems should be based on an understanding of today's emerging workloads. 高性能计算 54 International Symposium on Computer Architecture(ISCA) ISCA is the premier forum for computer architecture research 高性能计算 55 International Symposium on High Performance Computer Architecture(HPCA) 高性能计算 56 International Symposium on Microarchitecture (MICRO) The annual MICRO conference (co-sponsored by SIGMICRO) has been a key forum for presenting major breakthroughs in computing architecture, and has established itself as the premier conference on instruction level parallelism. 高性能计算 57 FAST: USENIX Conference on File and Storage Technologies, 存储领域最好的专业会议,该会议只针对存储相关的内容,属于本领域最顶级的会议。录取率非常低,现在的状况是基本上只有美国和加拿大最顶尖的研究小组在上面发表文章。每年举办一届。 存储领域 58 NASA/IEEE Conference on Mass Storage Systems and Technologies (MSST4) , 存储领域的专业会议,历史很长,在业界比较有影响 存储领域 59 SNAPI ’ 4: International Workshop on Storage Network Architecture and Parallel I/Os, Antibes Juan-les-spins, French, 存储领域较好的专业会议 存储领域 60 IEEE SC: SC-High Performance Computing, Networking and Storage Conference 高性能计算领域最好会议之一 存储领域 61 IEEE International Workshop on Networking, Architecture, and Storages ( IWNAS ) 国内办的存储领域的国际会议 存储领域 62 IEEE International Conference on Autonomic Computing ( ICAC ) 自主计算领域的国际专业会议,从2004开始,每年举办一次。针对大规模计算机系统或软件系统而提出的自管理、自配置、自优化、自保护等概念。 自主计算 63 Proceedings of the International Conference on Measurements and Modeling of Computer Systems 性能测试、分析与模拟方面的顶级会议。一年一届,已经举办12届 性能研究 64 International Symposium on High Performance Computer Architecture(HPCA) 高性能计算领域最好会议之一,基本上都是最顶尖的研究小组在上面发文章 高性能计算 65 IEEE Int'l Symp. On High Performance Distributed Computing 高性能分布式计算领域的会议,一年一届,已经举办15届 高性能计算 66 IEEE Int'l Conf. on Cluster Computing 集群和高性能计算很有影响的会议 分布式系统 67 USENIX Annual Technical Conference 操作系统、体系结构方面最好的会议之一 计算机系统 68 IEEE/ACM Int'l Symp. on Cluster Computing the Grid 集群和网格计算领域很好的会议 集群 69 International Symposium on Computer Architecture ( ISCA ) 系统结构最好的会议,系统结构的旗舰会议。基本上是美国最顶尖的研究小组在上面发表文章,国内的人员很难 系统结构 70 International Symposium on Microarchitecture ( MICRO ) 系统结构最好的会议之一。基本上是美国最顶尖的研究小组在上面发表文章,国内的人员很难 系统结构 71 HPCC : The International Conference for High Performance Computing and Communications 高性能计算领域较高的会议 高性能计算 72 IEEE International Conference on High Performance Computing IEEE发起的高性能计算国际会议。每年一次在印度举行。 高性能计算 73 Annual ACM International Conference on Supercomputing ( ICS ) 高性能计算领域的顶级会议,全世界从事高性能计算事业的每年一次的最重要的盛会之一。每年6月份召开,会上发布TOP500的上半年排名。 高性能计算 74 Symposium on Operating System Design and Implementation ( OSDI ) 操作系统最好的会议和SOSP交替举行,每两年一届,操作系统的旗舰会议。基本上是美国最顶尖的研究小组在上面发文章,其他地区要中极其困难 操作系统 75 ACM Symposium on Operating Systems Principles ( SOSP ) 操作系统最好的会议和OSDI交替举行,每两年一届,操作系统旗舰会议操作系统。基本上是美国最顶尖的研究小组在上面发文章,其他地区要中极其困难 操作系统 76 Proceedings of the 11th International Conference on Architectural Support for Programming Languages and Operating Systems ( ASPLOS ) 操作系统和程序语言最好的会议之一,录取率也非常低,也是基本上只有美国最顶尖的研究小组能够在上面发文,其他地区的极其困难 操作系统,程序语言 77 Workshop on Hot Topics in Operating Systems ( HOTOS ) 操作系统最好的会议之一 操作系统 78 Proceedings of the International Conference on Parallel Processing ( ICPP ) 并行计算非常有影响的会议 并行计算 79 Annual IEEE Conference on Local Computer Networks ( LCN ) 网络 80 International Conference on Distributed Computing Systems ( ICDCS ) 分布式计算非常有影响的会议,每年一次 分布式计算 81 International Conference on Parallel and Distributed Computing, Applications and Technologies ( PDCAT ) 分布式计算很好的会议,每年一次,已经举办7届 分布式计算 82 IEEE International Parallel and Distributed Processing Symposium ( IPDPS ) 并行与分布式计算领域非常有影响的会议,每年一次 并行与分布式计算 83 ASPLOS: Architectural Support for Programming Languages and Operating Systems ASPLOS是由ACM主办的国际会议,每年一次。主要关注硬件、体系结构、编译、操作系统等研究方向,在国内外学术界很高的影响。 编译技术 84 CASES: International Conference on Compilers, Architectures and Synthesis for Embedded Systems CASES 是 ACM 主办的国际会议,每年一次,主要关注编译器,体系结构和嵌入式系统等研究方向,录取率在 20 %- 30 % 编译技术 85 CODES: International Conference on Hardware Software Codesign CODES 是 ACM 主办的国际权威会议,每年一次。始于 1994 年。主要关注 hardware/software co-design 和嵌入式系统的 system-level design 。近两年的接收率为 25 %左右。 编译技术 86 DAC: Annual ACM IEEE Design Automation Conference DAC 是电子电路设计方面的国际权威会议,始于 1984 年。主要关注芯片、电路以及系统设计的新工具和新方法。近两年的接收率为 20 %左右。 编译技术 87 ICFP: International Conference on Functional Programming Functional Programming 方向的国际会议。主要关注 functional programming 的设计、实现、概念和使用。接收率在 30 %左右。 编译技术 88 ICS: International Conference on Supercomputing ICS是由ACM SIGARCH主办的国际会议,每年一次。主要关注高性能计算机和计算等方面的研究,在国内外学术界很高的影响。接收率30%左右。 编译技术 89 ICSE: International Conference on Software Engineering 软件工程方向的权威会议,接收率不到 20 %。除了 main conference 之外,还包括 tutorials, workshops, symposia 以及 collocated conferences 。 编译技术 90 ISCA: International Conference on Computer Architecture ISCA是由IEEE和ACM主办的国际会议,每年一次。主要关注处理器结构、存储结构、功耗等方面的研究,在国内外学术界很高的影响。接收率20%左右。 编译技术 91 ISMM: International Symposium on Memory Management 内存管理方向的国际会议,主要关注 garbage collection, dynamic storage allocation, storage management implementation techniques ,另外也包括 interactions with languages and operating systems, and empirical studies of programs' memory allocation and referencing behavior 编译技术 92 ISSTA: International Symposium on Software Testing and Analysis ISSTA 是国际顶级的关于 software test and analysis 的会议。近年来两年举办一次。和它同时举行的还有 Formal Methods in Software Practice Workshop 。 编译技术 93 LCTES: Language, Compiler and Tool Support for Embedded Systems 关注 languages, compilers 和 tools for embedded systems 的国际会议。每年一次,接收率在 25 %左右。 编译技术 94 MICRO: International Symposium on Microarchitecture 计算机体系结构方向的国际权威会议。关注计算机体系结构领域的重大发展。同时也是指令级并行方向的顶级会议。 编译技术 95 OOPSLA: Conference on Object Oriented Programming Systems Languages and Applications Object technology 和面向对象程序设计领域的国际权威会议。涉及的具体方向有 patterns, refactoring, aspect-oriented programming, dynamic compilation and optimization, unified modeling language, and agile methods 。接收率 20 %左右。 编译技术 96 PLDI: Conference on Programming Language Design and Implementation PLDI 是由 ACM 主办的国际会议,每年一次。主要关注编程语言的设计与实现等方面的研究工作,在国内外学术界很高的影响。 编译技术 97 PODC: Annual ACM Symposium on Principles of Distributed Computing 关注分布式系统的理论,设计,实现,规范等领域的国际会议。近年的接收率在 25 %左右。 编译技术 98 POPL: Annual Symposium on Principles of Programming Languages 关注 programming languages, programming systems, and programming interfaces 的 design, definition, analysis, and implementation 的国际权威会议,始于 1973 年,接收率不到 20 % 编译技术 99 PPoPP: Principles and Practice of Parallel Programming PPoPP是由ACM主办的国际会议,两年一次。主要关注并行编程方面的研究,在国内外学术界很高的影响。接收率30%。 编译技术 100 SIGMETRICS: Joint International Conference on Measurement and Modeling of Computer Systems 关注计算机系统性能方面的 theory, practice and case studies 的国际会议 编译技术 101 SIGSOFT: Foundations of Software Engineering Software Engineering 领域的权威会议。 2005 年以前的接收率一般不足 20 %。 编译技术 102 ASE - IEEE International Conference on Automated Software Engineering 关注软件开发自动化的顶级会议。前身为 KBSE(Knowledge-Based Software Engineering) ,始于 1997 年。 编译技术 103 CGO - International Symposium on Code Generation and Optimization CGO 是由 IEEE CS 和 ACMSIGMICRO 主办的国际会议,每年一次。主要关注代码生成和优化等方面的研究,在国内外学术界很高的影响。接收率 30 %左右。 编译技术 104 CLUSTER - IEEE International Conference on Cluster Computing CLUSTER是由IEEE主办的国际会议,每年一次。主要关注群集计算方面的研究,在国内外学术界很高的影响。 编译技术 105 DATE - Design, Automation, and Test in Europe 关注 Design methodologies, CAD languages, algorithms and tools, Testing of electronic circuits and systems, and Designer experiences 的国际会议 编译技术 106 EURO-PDP - Euromicro Conference on Parallel, Distributed and Network-Based Processing EUROMICRO 的一个 workshop ,关注并行和分布式计算。 编译技术 107 HPCA - International Symposium on High-Performance Computer Architecture HPCA是由IEEE主办的国际会议,每年一次。主要关注高性能计算方面的研究,在国内外学术界较高的影响。 编译技术 108 HPCS - Annual International Symposium on High Performance Computing Systems and Applications IEEE 主办,每年在加拿大的大学召开。但参会者不局限于加拿大的研究人员。其内容涵盖了 HPC 的各个领域 编译技术 109 ICDCS - International Conference on Distributed Computing Systems IEEE主办,涉及分布式计算方面各个领域的权威会议。起始于1979年。 编译技术 110 ICPADS - International Conference on Parallel and Distributed Systems IEEE主办,关注并行和分布式系统的国际会议 编译技术 111 IISWC - IEEE International Symposium on Workload Characterization 关注characterization of computing system workload的国际会议。涵盖applications, middleware, system的behavior。Benchmark的构造和分析,以及程序行为的modeling等领域。 编译技术 112 IPDPS - International Parallel and Distributed Processing Symposium IEEE 主办,并行和分布式处理相关的国际会议。包括并行处理的算法,应用,体系结构,以及和并行处理相关的软件如语言,编译器,运行时系统等。 编译技术 113 ISPASS - IEEE International Symposium on Performance Analysis of Systems and Software IEEE 主办,关注计算机软硬件设计中的性能分析。 编译技术 114 PACT - International Conference on Parallel Architectures and Compilation Techniques PACT是由IEEE CS、ACM SIGARCH和IFIP主办的国际会议,每年一次。主要关注并行体系结构、针对并行计算机系统的编译等方面的研究,在国内外学术界很高的影响。 编译技术 115 RTSS - IEEE Real-Time Systems Symposium 实时系统研究的顶级会议, IEEE 主办,已经举行了 27 届。 编译技术 116 RTAS - IEEE Real-Time and Embedded Technology and Applications Symposium 关注实时和嵌入式计算的基础结构,理论, system support 的国际会议。 编译技术 117 SC - IEEE/ACM SC Conference SuperComputing 的简称。关注的领域为 HPC , networking , storage and analysis 。 ACM 和 IEEE 合办。 编译技术 118 LCPC - International Workshop on Languages and Compilers for Parallel Computing 始于 1988 年,涉及编程语言的各个方面,包括 compiler techniques, run-time environments, and compiler-related performance evaluation for parallel and high-performance computing 。 编译技术 119 CC: International Conference on Compiler Construction 关注的领域涉及程序的各个方面,包括编译器构造, run-time 技术, prgramming tools, 新编程语言。也包括一些特别的领域如 parallel, ditributed, embedded, mobile, low power code, hardware 等。是 ETAPS 的 member conference 。影响因子 0.83 编译技术 120 HiPEAC - International Conference on High Performance Embedded Architectures Compilers 关注嵌入式系统的发展,包括处理器设计,编译优化等。 编译技术 121 ECOOP - European Conference on Object-Oriented Programming 关注 object technology 的各个领域。其中也包括一些虽然不直接和 Object Oriented 相关,但同样具有启发性的工作。 编译技术 122 ESOP - European Symposium on Programming ETAPS 的 member conference ,是欧洲 Software Science 方向的重要会议。涵盖了程序语言的设计和实现,编程模型的研究,程序的自动生成和分析等方向。 编译技术 123 Euro-Par - European Conference on Parallel Computing 关注并行计算的诸多方面的国际会议。粗略可分为 hardware, software,algorithms and application for parallel computing 几个部分。 124 SAS - International Static Analysis Symposium 关注程序的静态分析的权威会议。 编译技术 125 CAV - Computer Aided Verification Rank1 的国际会议,关注计算机辅助形式验证,涵盖从 theoretical results 到 concrete applications 的诸多方面,尤其是 practial verification tools and the algorithms and techniques that needed for their implementation 。影响因子 1.88 。 编译技术 126 FASE - Fundamental Approaches to Software Engineering ETAPS 的 member conference ,主要关注 Software Science ,影响因子 0.91 。 编译技术 127 TACAS - Tools and Algorithms for the Construction and Analysis of Systems ETAPS 的 member conference ,关注的领域包括 formal methods, software and hardware verification, static analysis, programming languages, software engineering, real-time systems, and communications protocols 。影响因子 1.24 编译技术 128 VMCAI - Verification, Model Checking and Abstract Interpretation Rank2 的国际会议。关注的领域包括 Verification, Model Checking, and Abstract Interpretation, facilitating interaction, cross-fertilization, and advancement of hybrid methods that combine the three areas 。 编译技术 129 ACL: The Association for Computational Linguistics 计算语言学 / 自然语言处理方面最好的会议 , ACL (Association of Computational Linguistics) 主办 , 每年开。 人工智能计算语言学 130 ACM SIGIR: The ACM Conference on Research and Development in Information Retrieval 信息检索方面最好的会议 , ACM 主办 , 每年开。 19 %左右 信息检索技术 131 ACM SIGKDD: The ACM Conference on Knowledge Discovery in Databases and Data Mining 数据挖掘方面最好的会议 , ACM 主办 , 每年开。 18 %左右 132 WWW: The ACM International World Wide Web Conference 应用和媒体领域顶级国际会议 万维网 133 ACM SIGMOD: ACM SIGMOD Conf on Management of Data 数据库领域顶级国际 数据管理 134 CIKM: The ACM Conference on Information and Knowledge Management 数据库领域知名国际会议 数据管理 135 COLING: International Conference on Computational Linguistics 计算语言学知名国际会议 计算语言学 136 ICML: International Conference on Machine Learning 领域顶级国际会议,录取率 25% 左右, 2 年一次,目前完全国内论文很少 机器学习,模式识别 137 IEEE ICDM: International Conference on Data Mining 数据挖掘领域顶级国际会议 138 IJCAI: International Joint Conference on Artificial Intelligence 人工智能领域顶级国际会议,论文接受率 18 %左右 人工智能 139 VLDB: The ACM International Conference on Very Large Data Bases 数据库领域顶级国际 数据库 140 SIGGRAPH: ACM SIGGRAPH Conference 计算机图形学顶级国际会议, ACM 主办,每年一次,几万人参加会议,论文录用率小于 20 % 计算机图形学 141 EUROGRAPHICS:The Annual Conference of the European Association for Computer Graphics 欧洲举办的国际图形学会议,面向世界。接受率现在也有差不多 20% 计算机图形学 142 AAAI: American Association for Artificial Intelligence 美国人工智能学会 AAAI 的年会,使该领域的顶级会议 人工智能 143 ACM Conference on Computer and Communications Security ACM 通信和计算健全领域顶级学术会议 信息安全 144 ACM SIGCOMM: Special Interest Group on Data Communications 数据通信 145 ACM SIGIR: The ACM Conference on Research and Development in Information Retrieval 信息检索领域的重要会议 信息检索 146 ACM SIGKDD: The ACM Conference on Knowledge Discovery in Databases and Data Mining ACM 旗舰会议之一,是数据库与知识管理的顶级学术会议。每年举办一次。 通信与网络 147 ACM SIGMETRICS: Conference on Measurement and Modeling of Computer Systems ACM 性能建模与评价领域顶级学术会议 通信与网络 148 ACM SIGMOD: ACM SIGMOD Conf on Management of Data 数据库与数据管理最顶级的学术会议,数据管理的主要发展都在这个会上有描述。 数据管理 149 ASPLOS: Architectural Support for Programming Languages and Operating Systems 编程语言和操作系统国际会议,涉及硬件体系结构、编译、操作系统、网格、应用的领域。 体系结构 150 CCGrid : IEEE/ACM International Symposium on Cluster Computing and the Grid 网格计算国际会议,网格平台、中间件 151 CIKM: The ACM Conference on Information and Knowledge Management 信息检索领域的会议,录用率为 15% 信息检索 152 CLUSTER - IEEE International Conference on Cluster Computing 集群计算国际会议,涉及中间件、网格算法及应用、资源管理、集成等。 集群计算 153 CPM: Combinatorial Pattern Matching Symposium 组合模式匹配年会,是字符串匹配、模式匹配较好的会议。 模式匹配 154 FAST4: Third USENIX Conference on File and Storage Technologies, USENIX 旗下关于文件和存储系统的顶级会议,会议于 2002 年召开第一届,召开地点都在美国加州。只有最好的工作能发表在 FAST 上。目前尚无大陆研究机构命中。 文件与存储 155 Grid : IEEE/ACM International Workshop on Grid Computing 网格计算国际会议,涉及计算模型、大规模数据访问和管理、资源管理和调度等。 网格计算 156 HPC: IEEE International Conference for High Performance Computing 157 HPDC: International Symposium on High Performance Distributed Computing 高性能分布计算国际会议,涉及告诉网格、分布计算、并行处理、大规模存储通信等领域。 高性能计算 158 ICDCS: IEEE International Conference on Distributed Computing Systems IEEE TCDP 发起的关于分布式处理领域的会议,最为老牌强会, ICDLS 举办了 26 届,录用率为 15% 。 分布式计算 159 ICML: International Conference on Machine Learning 机器学习领域中的顶级会议 机器学习 160 ICWS: IEEE International Conference on Web Services Web 服务国际会议,面向服务标准及规范、服务应用、语义服务等方面。 Web 服务 161 IEEE CSB: Computer Society Bioinformatics 162 IEEE ICDM: International Conference on Data Mining 数据挖掘领域的著名会议,率用率为 14% 。 数据挖掘 163 IEEE ICNP: International Conference on Network Protocols IEEE 网络通信领域顶级学术会议,录用率在 10% 左右。 网络 164 IEEE ICON: IEEE International Conference on Networks 165 IEEE INFOCOM: conference on computer communications IEEE 网络通信领域著名会议,领域广泛。 网络 166 IEEE IPCCC: International Performance Computing and Communications Conference IEEE 性能领域著名学术会议,主要关注性能评价。 网络性能 167 IEEE SPIRE: The IEEE International Symposium on String Processing and Information Retrieval 字符串处理与信息检索的年会,字符串匹配的主要进展都可以在这个会议上找到。 字符串处理信息检索 168 IJCAI: International Joint Conference on AI 人工智能领域的顶级会议。 人工智能 169 IMC: ACM-SIGCOMM/USENIX Internet Measurement Conference IMC: ACM-SIGCOMM/USENIX Internet Measurement Conference ACM SIGCOMM 网络特征领域重要会议,主要涉及网络流特征。 170 International Symposium on High Performance Computer Architecture(HPCA) 服务计算 171 IPDPS: IEEE International Parallel and Distributed Processing Symposium IEEE TCPD 牵头, IEEETCCA 、 TCDP 与 ACMSIGARH 合办的关于并行处理与分布式处理会。录用率 30% ,会议的优秀论文可能发表于 JDPC 杂志上。 并行计算分布式计算 172 ISMB: International conference on Intelligent Systems for Molecular Biology 无线 173 MobiCom: ACM/IEEE Conference on Mobile Computing and Networking 始于 1995 ,无线、移动计算方面比较有历史和重要的会议。 安全 174 MobiSys: The International Conference on Mobile Systems, Applications, and Services 无线方面, 2006 年第 4 名。 无线 175 OSDI: USENIX Symposium on Operating Systems Design and Implementation USENIX 操作系统领域重要会议,侧重操作系统各方面的新型技术。 操作系统 176 PAKDD: Pacific-Asia Conference on Knowledge Discovery and Data Mining 177 PDCAT: International Conference on Parallel and Distributed Computing, Applications and Technologies 关注并行于分布式计算领域众多问题的国际性会议,主要是亚太地区。 并行计算分布式计算 178 PKDD: Conference on Principles and Practice of Knowledge Discovery in Databases 数据挖掘领域的重要会议,录用率为 14% 。 数据挖掘 179 SCC: IEEE International Conference on Services Computing 服务计算国际会议,侧重服务模型、发现体系结构、服务安全、服务质量、服务语义方面的研究。 服务计算 180 SDM: SIAM International Conference on Data Mining 数据挖掘领域的重要会议,录用率为 14% 数据挖据 181 SOSE: IEEE International Workshop on Service-Oriented System Engineering 182 USENIX Sec: USENIX Security Symposium USENIX 安全领域重要会议,侧重安全技术。 安全 183 USENIX/ACM Symposium on Networked Systems Design and Implementation (NSDI) USENIX 网络领域重要会议,设计网络涉及各方面内容。 网络 184 VLDB: The ACM International Conference on Very Large Data Bases 数据管理 185 WWW: The ACM International World Wide Web Conference ACM 旗下关于互联网方面的重要会议,从 Web 服务器到互联网语义等研究问题一一包含其中。 15% 录用率。 Internet 186 RAID International Symposium on Recent Advances in Intrusion Detection 数据库顶级国际会议 187 IJCAI: International Joint Conference on Artificial Intelligence 人工智能顶级国际会议 人工智能 188 VLDB: The ACM International Conference on Very Large Data Bases 数据库顶级国际会议 数据库 189 ICML: International Conference on Machine Learning 机器学习顶级国际会议 机器学习 190 PRICAI: Pacific Rim International Conference on Artificial Intelligence 亚太人工智能国际会议 人工智能 191 IFIP ICIIP: IFIP International Conference on Intelligent Information Processing IFIP智能信息处理国际会议 智能信息处理 192 NIPS: Neural Information Processing Systems 神经信息处理领域顶级国际会议 神经计算,机器学习 193 ISCA: International Symposium on Computer Architecture 体系结构领域的顶级会议 微处理器设计 194 International Symposium on Microarchitecture 体系结构领域的顶级会议 微处理器设计 195 HPCA : International Symposium on High Performance Computer Architecture 体系结构领域的顶级会议 微处理器设计 196 APCSAC: Asia-Pacific Computer Systems Architecture Conference 体系结构方面的重要会议 微处理器设计 197 ISLPED: International Symposium on Low Power Electronics and Design 低功耗设计的重要会议 微处理器设计 198 OSDI: Operation System Design Implementation 操作系统方面的重要会议 微处理器设计 199 ASPLOS: Architecture Support for Programming Languages and Operation 体系结构方面的顶尖会议 微处理器设计 200 ICCD: IEEE International Conference on Computer Design 体系结构方面的顶尖会议 微处理器设计 201 DAC: Design Automation Conference 设计自动化领域的顶级会议 微处理器设计 202 IEEE/ACM International Conference on Computer Aided Design(ICCAD) 集成电路设计自动化方面的顶尖会议 微处理器设计 203 ASP-DAC: Asia and South Pacific Design Automation Conference 设计自动化领域的重要会议 微处理器设计 204 ISSCC: IEEE International Solid-State Circuits Conference 设计自动化领域的重要会议 微处理器设计 205 CICC: Custom Integrated Circuits Conference 集成电路设计方面的顶尖会议(公认排名第二) 微处理器设计 206 ESSCIRC: European Conference on Solid-State Circuits 集成电路设计方面的顶尖会议 微处理器设计 207 Symposium on VLSI Circuits 集成电路设计方面的顶尖会议 微处理器设计 208 IEEE International ASIC/SOC Conference 集成电路设计方面的重要会议 微处理器设计 209 Symposium on VLSI Technology 集成电路设计方面的重要会议 微处理器设计 210 ASSCC: Asian Conference on Solid-State Circuits 集成电路领域重要会议 微处理器设计 211 MWSCAS: Midwest Symposium on Circuits and Systems 集成电路领域重要会议 微处理器设计 212 ICECS: IEEE International Conference on Electronics, Circuits and Systems : 集成电路领域重要会议 微处理器设计 213 ISCAS: International Symposium Circuit and System 电路与系统方面的重要会议 微处理器设计 214 RFIC: IEEE Symposium on Radio Frequency Integrated Circuits 射频集成电路领域顶尖会议 微处理器设计 215 ACM RECOMB: Int. Conference on Research in Computational Molecular Biology RECOMB创办于1997年,每年举办一次,由ACM和/或国际计算生物学协会(ISCB)主办,强调计算生物学的数学和计算方面,近年录取率在20%左右。 计算生物学 216 IEEE CSB: Computer Society Bioinformatics CSB创办于2002年,每年举办一次,2005年以前由IEEE协会主办,2006年开始改由生命科学协会(LSS)主办。 计算生物学 217 International Conference of the IEEE Engineering in Medicine and Biology Society ( EMBC ) 生物信息学与计算生物学国际研讨会 计算生物学 218 PSB: Pacific Symposium on Biocomputing PSB创办于1996年,每年举办一次,从属于国际计算生物学协会(ISCB),由美国的研究机构组织举办。 计算生物学 219 WABI:Workshop on Algorithms in Bioinformatics WABI创办于2000年,每年举办一次,由欧洲理论计算机协会(EATCS)和国际计算生物学协会(ISCB)主办,强调生物信息学的算法方面。 计算生物学 220 CSB: IEEE Computational Systems Bioinformatics Conference CSB创办于2002年,每年举办一次,2005年以前由IEEE协会主办,2006年开始改由生命科学协会(LSS)主办 计算生物学 221 ISMB:Annual International Conference on Intelligent Systems for Molecular Biology ISMB创办于1993年,每年举办一次,由国际计算生物学协会(ISCB)主办,在生物信息学领域影响最大,论文集通常作为Bioinformatics杂志的专刊发表,近年来录取率为15%左右。 生物信息 222 ECCB:European Conference on Computational Biology ECCB创办于2002年,每年举办一次,由国际计算生物学协会(ISCB)主办,有时与ISMB联合举办,论文集通常作为Bioinformatics杂志的专刊发表,近年录取率在20%左右。 生物信息 223 APBC:Asia Pacific Bioinformatics Conference APBC创办于2003年,每年举办一次,由亚太国家的研究机构组织举办,近年录取率在35%左右。 生物信息 224 COCOON:Annual International Computing and Combinatorics Conference COCOON创办于1995年,每年举办一次,会议范畴为计算理论、算法、组合优化等,包括生物信息学方向,近年录取率在40%左右。 生物信息 225 CPM:Annual Symposium on Combinatorial Pattern Matching CPM创办于1990年,每年举办一次,会议以串、树和图等复杂模式的搜索和匹配问题为主题,包括生物信息学方向,近年录取率在45%左右。 生物信息 226 EMBC:IEEE International Conference of the Engineering in Medicine and Biology Society EMBC创办于1979年,每年举办一次,从属于IEEE医学与生物工程协会,会议内容覆盖范围很广,每年接收的论文数以千计。 生物信息 227 Geospatial Information and Technology Association ( GITA ) Annual Conference 地球空间信息与技术协会年会 遥感与空间信息处理 228 International Geoscience and Remote Sensing Symposium ( IGRSS ) 地球科学与遥感国际会议 遥感与空间信息处理 229 International Society for Photogrammetry and Remote Sensing ( ISPRS ) Technical Commission Symposium 国际摄影测量与遥感学会专业委员会会议 遥感与空间信息处理 230 International Conference on Geoinformatics 地球信息国际会议 遥感与空间信息处理 231 IEEE SKG (Semantics, Knowledge and Grid) 由计算所发起的IEEE国际会议,每年有100人参加。 知识网格 232 WWW: The ACM International World Wide Web Conference Internet领域顶级国际会议 Internet 233 International Semantic Web Conference Semantic Web领域顶级会议,录用率17% Semantic Web 234 ACM SIGMOD: ACM SIGMOD Conf on Management of Data ACM的旗舰会议之一,也是数据库领域顶级学术会议,内容侧重于数据管理。 数据管理 235 ACM PODS Conference ACM的旗舰会议之一,也是数据库领域顶级学术会议,内容侧重于数据管理基本理论。 数据管理 236 VLDB: The ACM International Conference on Very Large Data Bases 数据库顶级国际会议 数据管理 237 IEEE ICDE - International Conference on Data Engineering 数据库顶级国际会议 数据管理
Submission Submissions should be done by the authors online by registering with www.sciforum.net , and using the Start New Submission function once logged into system. Scholars interested in participating with the conference can submit their abstract (about 150-300 words covering the areas of manuscripts for the proceedings issue) online on this website until 10 September 2015. The Conference Committee will pre-evaluate, based on the submitted abstract, whether a contribution from the authors of the abstract will be welcome for the 2nd International Electronic Conference on Entropy and Its Applications. All authors will be notified by 25 September 2015 about the acceptance of their abstract. If the abstract is accepted for this conference, the author is asked to submit his/her manuscript, optionally along with a PowerPoint and/or video presentation of his/her paper (only PDF), until the submission deadline of 25 October 2015. The manuscripts and presentations will be available on sciforum.net/conference/ecea-2 for discussion and rating during the time of the conference 15–30 November 2015. Accepted papers will be published in the proceedings of the conference and Journal Entropy will publish the proceedings of the conference as a Special Issue. After the conference, the authors are recommended to submit an extended version of the proceeding papers to the Entropy Special issue with 20% discount of the APC charges. Proceedings Paper Manuscripts for the proceedings issue must have the following organization: First page: Title Full author names Affiliations (including full postal address) and authors' e-mail addresses Abstract Keywords Introduction Methods Results and Discussion Conclusions (Acknowledgements) References Manuscripts should be prepared in MS Word or any other word processor and should be converted to the PDF format before submission. The publication format will be PDF. The manuscript should count at least 3 pages (incl. figures, tables and references). There is no page limit on the length, although authors are asked to keep their papers as concise as possible. Presentation Slides Authors are encouraged to prepare a presentation in PowerPoint or similar software, to be displayed online along with the Manuscript. Slides, if available, will be displayed directly in the website using Sciforum.net's proprietary slides viewer. Slides can be prepared in exactly the same way as for any traditional conference where research results can be presented. Slides should be converted to the PDF format before submission so that our process can easily and automatically convert them for online displaying. Video Presentations Besides their active participation within the forum, authors are also encouraged to submit video presentations. If you are interested in submitting, please contact the conference organizer – conferences@mdpi.com to get to know more about the procedure. This is an unique way of presenting your paper and discuss it with peers from all over the world. Make a difference and join us for this project! Presentation of Posters Posters will be available on this conference website during and after the event. Like papers presented on the conference, participants will be able to ask questions and make comments about the posters. Posters that are submitted without paper will not be included in the proceedings of the conference. Submission of Manuscripts Submission: Manuscripts should be submitted online at www.sciforum.net/login by registering and logging in to this website. Accepted File Formats MS Word: Manuscript prepared in MS Word must be converted into a single file before submission. When preparing manuscripts in MS Word, the Electronic Conference on Entropy and Its Applications Microsoft Word template file (see download below) must be used. Please do not insert any graphics (schemes, figures, etc.) into a movable frame which can superimpose the text and make the layout very difficult. Electronic Conference on Entropy and Its Applications Microsoft Word template file LaTeX : ensure to send a copy of your manuscript as a PDF file also, if you decided to use LaTeX. When preparing manuscripts in LaTeX, please use the MDPI LaTeX template files. Electronic Conference on Entropy and Its Applications LaTeX template file For LaTeX support, contact Ms Janine Daum ( latex@mdpi.com ). Manuscript Preparation Paper Format: A4 paper format, the printing area is 17.5 cm x 26.2 cm. The margins should be 1.75 cm on each side of the paper (top, bottom, left, and right sides). Formatting / Style: The paper style of the Journal Entropy should be followed. You may download the template file to prepare your paper. The full titles and the cited papers must be given. Reference numbers should be placed in square brackets , and placed before the punctuation; for example or , and all the references should be listed separately and as the last section at the end of the manuscript. Authors List and Affiliation Format: Authors' full first and last names must be given. Abbreviated middle name can be added. For papers written by various contributors a corresponding author must be designated. The PubMed/MEDLINE format is used for affiliations: complete street address information including city, zip code, state/province, country, and email address should be added. All authors who contributed significantly to the manuscript (including writing a section) should be listed on the first page of the manuscript, below the title of the article. Other parties, who provided only minor contributions, should be listed under Acknowledgments only. A minor contribution might be a discussion with the author, reading through the draft of the manuscript, or performing English corrections. Figures, Schemes and Tables: Authors are encouraged to prepare figures and schemes in color. Full color graphics will be published free of charge. Figure and schemes must be numbered (Figure 1, Scheme I, Figure 2, Scheme II, etc.) and a explanatory title must be added. Tables should be inserted into the main text, and numbers and titles for all tables supplied. All table columns should have an explanatory heading. Please supply legends for all figures, schemes and tables. The legends should be prepared as a separate paragraph of the main text and placed in the main text before a table, a figure or a scheme. For further enquiries please contact us at conferences@mdpi.com . Potential Conflicts of Interest It is the authors' responsibility to identify and declare any personal circumstances or interests that may be perceived as inappropriately influencing the representation or interpretation of clinical research. If there is no conflict, please state here The authors declare no conflict of interest. This should be conveyed in a separate Conflict of Interest statement preceding the Acknowledgments and References sections at the end of the manuscript. Financial support for the study must be fully disclosed under Acknowledgments section. It is the authors' responsibility to identify and declare any personal circumstances or interests that may be perceived as inappropriately influencing the representation or interpretation of clinical research. If there is no conflict, please state here The authors declare no conflict of interest. This should be conveyed in a separate Conflict of Interest statement preceding the Acknowledgments and References sections at the end of the manuscript. Financial support for the study must be fully disclosed under Acknowledgments section. Copyright MDPI AG, the publisher of the Sciforum.net platform, is an open access publisher. We believe that authors should retain the copyright to their scholarly works. Hence, by submitting a Communication paper to this conference, you retain the copyright of your paper, but you grant MDPI AG the non-exclusive right to publish this paper online on the Sciforum.net platform. This means you can easily submit your paper to any scientific journal at a later stage and transfer the copyright to its publisher (if required by that publisher). Sponsors
去美国开了个学术会议,和绝大多数情况一样,这个会议是男人,或者更确切的说,是‘老男人’的世界。 很荣幸的,纺织界泰斗级学者 John Hearle也参加了会议,不夸张的说与会的大多数人若干年前读的课本都是他的或者翻译他的书。老先生90岁了(如果没错,今天正好是90岁,老先生生日快乐),依旧满世界的飞,依旧传道授业,依旧谦虚认真的听每一个演讲。会议第二天的晚上,会议主办方为老先生办了一个简单的生日宴,很多他以前的学生,学生的学生都为他献祝辞,场面很是感动。 我觉得幸运的见证了这一时刻,这是对知识的尊重。但与此同时也感觉到了自己的渺小和略微的无助。 之前我总说:从毕业那天开始,年轻就不再是优势。从那天开始,年轻就等于‘没有经验’。这一点学术界更为明显。再加上性别的问题,科研人员中的女性是一个小群体,也很不幸的成为了‘弱势’群体。 好几次在国内参加所谓国际会议,及时是在博士毕业以后,还是很不幸的被误认为‘志愿者’。在会议中,很少有人会主动‘探讨学术问题’。好像‘年轻的女性’ 是不具备学术能力的。 回瑞典后,和同事们谈到这个问题,好像每个人都有类似的经历。得到的结论是:只有慢慢的熬,只有坚持不懈,只有认定和相信自己。总有一天,当我们成为了一个成熟,成功的学者的时候。性别自然的u退去了,年龄呢?就不用愁啦。。。只有嫌老的快的,没有嫌老的慢的。 Pic, Prof. John W.S.Hearle and Dr. Xianggang Chen @ 6th World conference on 3D fabrics and their application, 2015.05.26, Raleigh, NCSU. H appy Birthday, John!
因 2 次收到 Jef.Boeke 的 email 来信,有关合成基因组与工程生物学会议通知,我由于时间因素不能参加,贴在这里供有兴趣参加的参考。 On behalf of Nancy J Kelley, NJKA andmyself, we ask that you join us at the 4th Annual Sc2.0 and Synthetic GenomesConference July 16-17, 2015 in NYC! Expanded from previous years to includeSynthetic Genomes and Engineering Biology topics of relevance, the conferencewill provide an update on the Synthetic Yeast Genome Project, Sc2.0 as well aspresent several special sessions including two workshops on “how yeast flavorswine and beer” with audience participation! And new to this year’s program are twopanel discussions: “Genome Engineering and Society” and “What’s the Next BigGenome to be Synthesized?” With 19 confirmed speakers and more to beadded from academia and industry, a poster session and keynote presentations onhuman artificial chromosomes and the open plant initiative, this will be theforum of the year for engineering biology. The conference will be hosted at the New York Genome Centerand space is limited so don’t wait! Early bird registration ends April 30th. Event information and registration can befound at the link below: https://events.bizzabo.com/SynGenome2015 Excitement is building for the 4th AnnualSc2.0 and Synthetic Genomes Conference this summer! On July 16-17th 2015 wewill convene in New York City at the New York Genome Centerto discuss progress in synthetic yeast genome engineering as well as a broaderdiscussion of other genome engineering efforts, CRISPRs, designer nucleases,and synthetic biology. This meeting is co-sponsored by NSF Science AcrossVirtual Institutes (SAVI), NYU Langone Medical Center and Nancy J Kelley Associates. Ambitious in its goal and spanning thedisciplines of biology, engineering and computer science, the Synthetic YeastGenome Project (Sc2.0) has garnered global attention as the world’s firstsynthetic, designer eukaryotic genome project. Now well underway, the Sc2.0international consortium engaging research scientists around the globe, isbuilding 16 designer synthetic chromosomes encompassing ~12 million base pairsof DNA. While Sc2.0 represents the world's firsteffort to construct a designer eukaryotic genome, it won't be the last! Lookingbeyond Sc2.0, another emphasis of the meeting will be discussing other genomeengineering efforts, CRISPR, designer nucleases, and synthetic biology. Themeeting will feature panel discussions on industry and society, as well asspeakers and demonstrations from the lab automation and DNA synthesisindustries and a poster session. Finally, there will be in-depth technical,gustatory and social analysis of yeast products of the liquid kind (coffee,beer and wine). For example, led by Dr. Troels Prahl, scientists at White Labsin partnership with bioinformatics colleagues at SGI such as Toby Richardson,are tackling the expansive genealogy of brewing yeasts to understand how thesestrains have evolved over time and how their gene content contributes to taste.Conference attendees will have the unique opportunity to taste several beersfor which the ‘genotype-phenotype’ relationship of the brewing yeast has beencharacterized. Of course, also planned are numerousopportunities for conference attendees to socialize, advance their scientificdiscussions and enjoy New York! A complete meeting agenda can be foundhere: https://events.bizzabo.com/SynGenome2015/agenda Please click on the titles of each session for more information. If you have any questions please contact: Julie Oaks, Julie.Oaks@nyumc.org or Robyn Beliveau, robyn@nancyjkelley.com
2015年IEEE国际信息与自动化国际会议将于2015年8月8日至10日在美丽的丽江古镇召开。 The IEEE ICIA/ICAL 2015 joint conference will be held from August 8 to 10, 2015 in the old town of Lijiang, located in the beautiful Yunnan province of China. The Lijiang Old Town used to be the center of silk embroidery in the southwest of China and the most important place of the Ancient Southern Silk Road, also called the Ancient Tea and Horse Road or Ancient tea route. The Ancient Southern Silk Road started from Burma, crossed Lijiang, Shangri-La County, Tibet, journeyed through Iran, the Fertile Crescent, and finally to the Mediterranean Sea. The IEEE ICIA/ICAL 2015 joint conference promises to offer the participants a great experience with excellent technical and social programs. High quality papers reporting original research results and innovations in all aspects of information, automation, logistics, and their applications are invited 论文提交: 所有的文章必须以PDF格式提交。文章需要按照IEEE PDF文档格式要求创建。如需详细信息,请访问会议主页。所有录用的文章都将被EI检索并被收录到IEEE Xplore数据库。 会议网址: http://2015.ieee-icia.org 重要日期: 2015年05月10日 投稿截止日期 2015年06月25日 录用通知 2015年07月10日 最终版提交与注册截至日期 我们期待着你们的到来与参与。 联系方式 ieee.icia@gmail.com +86 13713500061 祝好 ICIA 2015 组委会
http://www.ists.or.jp/2015/call-for-papers/iepc-call-for-papers/ IEPC (International Electric Propulsion Conference) is the world’s largest forum in the field of electric propulsion for spacecraft, aiming at the exchange among researchers, developers, managers, scholars, and students and at the promotion of thruster RD and space activities. The conference is held every other year in alternation between a US and a non-US location and participants from more than twenty countries come together representing the worldwide electric propulsion community. Succeeding the 33rd IEPC in Washington DC, USA in 2013, it will return to Japan after 16 years, jointly with the 30th ISTS (International Symposium on Space Technology and Sciences) and the 6th NSAT (Nano-Satellite symposium). At a Glance of 30th ISTS, 34th IEPC and 6th NSAT Keyword Category 1 Hall Thruster Ion Thrusters Field Emission and Colloid Thrusters MPD Thrusters Pulsed Plasma Thrusters Resistojets and Arcjets Electrodeless/Helicon Plasma Thrusters Other electrothermal, Electromagnetic or Electrostatic Thruster Concepts Fusion Propulsion and Magnetoplasma Sail Laser Propulsion/Beamed Energy Propulsion Other Innovative or Advanced Electric Propulsion Concepts Cathode and Other Component and Materials Technologies EP Propellant feed Systems Power and Power Processing for EP Systems Keyword Category 2 Physics Fundamental Studies Analytical Modeling Numerical Simulations Laboratory and Space Testing Diagnostics Lifetime Characterization Systems Analysis/Development Flight Programs and In-flight Experience Mission Analysis Terrestrial Applications Important Dates Online Abstract Submission Open Sep. 12, 2014 Deadline for Abstract Nov. 20, 2014 Notification of Acceptance for All Authors Jan. 30, 2015 Deadline for Paper Upload for Student Session only Feb. 28, 2015 Notification of Presentation Schedule for All Authors Apr. 1, 2015 Notification of Selection Results for Student Session Second Announcement and Tentative Program Issue Online Pre-registration Open Online Paper Submission Open Deadline for Paper Upload May 15, 2015
“第九届国际地面沉降学术研讨会”( Ninth International Symposium on Land Subsidence , NISOLS )将于 2015 年 11 月 15 日至 19 日在日本名古屋举行。 参会论文摘要提交截至2014年12月15日,全文提交截至2015年5月1日。 《上海国土资源》 2013 年第 4 期曾刊发 UNESCO 地面沉降工作组成员、南京大学地球科学与工程学院叶淑君教授“联合国教科文组织地面沉降工作组 2013 年会简报”,对该届会议的组织筹备与初定议题作过介绍( http://d.wanfangdata.com.cn/Periodical_shdz201304025.aspx ; http://www.cnki.net/KCMS/detail/detail.aspx?QueryID=8CurRec=25dbcode=CJFDdbname=CJFDLAST2014filename=SHAD201304025urlid=yx=v=MDkwNDBGckNVUkw2ZVorWnVGeS9sVzczTk5pWEthckc0SDlMTXE0OUhZWVI4ZVgxTHV4WVM3RGgxVDNxVHJXTTE =)。现根据会议网站资料,发布相关信息,更多详情可浏览 : http://www.nisols2015.com 。 国内为该届会议作准备的“第四届全国地面沉降防治学术研讨会”,由中国地质调查局地面沉降研究中心与长安大学地质工程与测绘学院具体承办,将于2014年11月6日至8日在西安举行,会议二号通知及参会回执请见 http://blog.sciencenet.cn/home.php?mod=spaceuid=465562do=blogid=826803 及长安大学地质工程与测绘学院网站 http://dcxy.chd.edu.cn/info/1205/3762.htm 。 Ninth International Symposium on Land Subsidence (NISOLS) 15-19 November 2015, Nagoya, Japan Theme: Prevention and mitigation of natural and anthropogenic hazards due to land subsidence Introduction An awareness of problems related to land subsidence and its anthropogenic causes has been growing worldwide since the second half of the Twentieth century. The problem of land subsidence was first included by the UNESCO projects in the International Hydrological Decade (HD) in 1964, and later in the International Hydrological Program (IHP). This resulted in the organization of eight International Symposia on Land Subsidence sponsored by the UNESCO that were held in Tokyo (1969), Anaheim (1976), Venice (1984), Houston (1991), The Hague (1995), Ravenna (2000), Shanghai (2005) and Querétaro (2010). Land subsidence has become an important area of research in Japan, other countries of South East Asia and globally. UNESCO and the Tokai Three Prefecture Investigation Committee on Land Subsidence of Japan invite you to attend the Ninth International Symposium on Land Subsidence (NISOLS) planned for 15-19 November 2015 in Nagoya, Japan. The NISOLS conference will present a high standard of scientific and technical information to scientists, engineers, and end users of the studies on land subsidence and associate hazards. Objectives The amount of the groundwater extraction increased rapidly during a period of high economic growth leading up to the mid-1960s, and land subsidence was generated on a nationwide scale in Japan. The Nobi Plain west of Nagoya is one–subsidence damage was large. Land subsidence in Japan has been largely arrested owing in large part to laws and ordinances that restrict groundwater pumping. However, the accumulated historical subsidence exposes the coastal areas to flooding related to high tides and the tsunamis. Recently, the groundwater control without generating land subsidence is requested from the viewpoint of the hydrological cycle. Moreover, the collapse of underground voids created during mining of lignite causes damages to buildings in the northern part of the Nagoya area. Research on mitigating the collapse of these underground voids is vitally needed. Additionally, the shoreline has subsided as a result of the massive earthquake that overcame the Tohoku region in Japan on March 11, 2011 exacerbating damage from flooding. Research on land subsidence attributed to liquefaction and diastrophism that the massive earthquake caused is needed. Geologists, geophysicist, hydrologists, geotechnical engineers, other scientists, resource managers and stakeholders are encouraged to participate in this symposium and contribute papers describing the development of new techniques for monitoring, analysis, interpretation and prediction of subsidence and (or) related ground-failure/fracturing processes. Case studies of applied science and engineering and social/cultural investigations of these processes are welcome. Principal topics for technical sessions 1) Aquifer-system compaction and subsidence caused by groundwater withdrawal 2) Risk management of subsidence related hazards 3) Anthropogenic land subsidence in coastal regions 4) Land subsidence related to soil oxidation 5) Anthropogenic uplift (CO 2 - sequestration included) 6) Land subsidence and liquefaction, due to East Japan Great Earthquake of March 11, 2011 7) Ground failure (fracturing, fault activation, fissuring) 8) Land surface displacement, measuring and monitoring 9) Numerical Modelling 10) Social, cultural and economic influence of land subsidence 11) Water management strategies 12) Subsurface deformation due to shale gas production 13) Settlements and geotechnical construction activities 14) Seismic activity, triggered by fluid extraction and injections Call for Papers Papers/posters are invited on the principal topics outlined above and other topics which fall within the general objectives of the symposium. Abstracts (200-400 words) in English should be submitted on-line in the NISOLS’s Website not later than December 15, 2014. The abstract should contain author’s name, affiliation, address, and key words. It should clearly state the purpose, methodology, results and conclusion of the final paper. Metric units should be used. Please specify whether an oral or poster presentation is preferred (for Japanese speaker’s simultaneous translation will be available). Authors of accepted contributions will be notified by February 6, 2015. A paper is required for all accepted abstracts. There is a limit of 6 pages for each paper, and of 3 pages for each poster, including figures, tables, and references. The text must be in English. More detailed information will be found in the Author's template for proceeding’s paper for NISOLS 2015 in the second circular. The proceedings will include keynote lectures and only those accepted papers that are presented by the authors of the paper at the symposium and will be published by Copernicus Publishers in association with UNESCO and the International Association of Hydrological Sciences (IAHS). Website for submitting abstracts: www.nisols2015.com Deadlines Abstract submission, 15 December 2014 Letters of Acceptance. 6 February 2015 Full paper submission, 1 May 2015 Registration fees Registration fee for participants is 65,000 JPY. It was decided not to state what it includes in the first circular, but only in the following circulars. Accompanying person fee is 25,000 JPY (includes gala dinner, one field trip and one guided sightseeing tours). Student registration fee is 20,000 JPY (includes lunch, on field trip coffee break and symposium proceedings). Field trips Two one-day field trips are planned. Visiting coastal subsidence area is on Wednesday 18 November and visiting inland subsidence area is on Thursday 19 November. Pre-conference Workshops Subsidence Monitoring: In-situ, ground-based, remotely-sensed techniques: Wells, extensometers, surveying, GPS, InSAR, and LIDAR. Friday 13rd November 2015, from 9 a.m. to 6 p.m. 12,000 JPY Geotechnical Data Base: Saturday 14th November 2015, from 9 a.m. to 12:00 a.m. 8,000 JPY Groundwater Flow Simulation: MODFLOW for advanced users, Saturday 14 November 2015, 1 p.m. – 5 p.m. 10,000 JPY. Post conference tours A three-day post-congress technical and cultural field trip, from Friday 20 November to Monday 23 November, will visit the Tohoku area impacted by Tsunami on March 11, 2011. General inquiries : Prof. Kenji Daito Daido University Mail: daito@daido-it.ac.jp Tel: +81-52-612-5571 ext. 239 Fax: +81-52-612-5953 Paper submission and Registration: NISOLS Submission and Registration desk Mail: nisols2015@intergroup.co.jp Tel: +81-52-581-3241 Fax: +81-52-581-5585
1.10th Asia Control Conference(ASCC2015) 31st May - 3rd June 2015,Kota Kinabalu, Sabah, Malaysia Important Dates Submission of Regular Papers: 15th November 2014 Notification of Acceptance: 15th January 2015 Submission of Camera-ready Paper: 15th March 2015 http://ascc2015.com/ 2. Chinese Control and Decision Conference (CCDC2015) May 23-May 25, 2015, Qingdao, China Important Dates: Deadline for Full Paper Submission 31 October 2014 Notification of Acceptance 10 Feburary 2015 Deadline for Camera Ready Manuscript Submission 10 March 2015 Deadline for Authors Registration 10 March 2015 http://www.ccdc.neu.edu.cn/ 3.The 34th Chinese Control Conference (CCC2015) July 28-30, 2015, Hangzhou, China, Important dates: Dates for submission: October 1, 2014 to Dec 15, 2014 Notification of acceptance: April 1, 2015 Submission of camera-ready copy: April 5, 2016 to April 30, 2015 http://ccc2015.hdu.edu.cn/ 4.the 13th European Control Conference (ECC2014) the 15th to the 17th of June 2015,Linz Submission Deadline: Contributed Papers Invited Sessions: Oct 20, 2014 Workshops: Nov 03, 2014 Final Paper Submission:March 16, 2015 Early Registration: March 16, 2015 http://www.ecc15.at/ 5.The 2015 American Control Conference(ACC2015) July 1–3,Chicago, Illinois Key Dates Draft Manuscripts:due September 26, 2014 Best Student Paper Nominations: due October 6, 2014 Workshop Proposals: due October 17, 2014 Acceptance/Rejection Notice:by January 31, 2015 Final Manuscript Submission: due March 15, 2015 http://a2c2.org/conferences/acc2015/ 6. The 23rd Mediterranean Conference on Control Automation(2015), Torremolinos, Spain, on June 16-19, 2015. Invited Sessions Workshops, 2 Feb 2015 Contributed papers submission, 2 Feb 2015 Notification of acceptance/rejection, 17 Apr 2015 Final submission, 4 May 2015 http://med2015.uma.es/INDEX.PHP/ zhaopian
近期衰老相关研究国际会议信息 22nd Nordic Congress of Gerontology May 25-28, 2014, Gothenburg http://www.22nkg.com 8th Bay Area Aging Symposium May 28, 2014, 8:30 am - 5:00 pm University of California, Berkeley, 105 Stanley Hall Please register for FREE using this link: https://www.surveymonkey.com/s/T8FL2Q2 American Aging Association 43rd Annual Meeting May 30 - June 2, 2014, The Westin Riverwalk Hotel, San Antonio, Texas Pre-meeting: The Role of Mitochondria in Aging, May 30, 2014 Abstracts due April 15, 2014 http://www.americanagingassociation.org/ National Institute of Aging Summer Training Course in Experimental Aging Research June 14 -19, 2014, University of Washington, Seattle NO COURSE FEE. Co-Sponsored by the Glenn Foundation http://www.uwaging.org/STC ISSCR, International Society for Stem Cell Research, 12th Annual Meeting June 18-21, 2014, Vancouver BC, Canada http://www.isscr.org/home/2014annualmeeting Harvard/Paul F. Glenn Symposium on Aging June 23, 2014, Harvard Medical School, Boston, Massachusetts Please register Free online at: www.hms.harvard.edu/agingresearch You can download the upcoming program, as well as past programs here: http://www.hms.harvard.edu/agingresearch/index.php/events/symposium Alzheimer's Association International Conference July 11-17, 2014, Copenhagen, Denmark http://www.alz.org/aaic/registration.asp Twelfth International Symposium on Neurobiology Neuroendocrinology of Aging July 27-August 1, 2014, Bregenz, Austria Organized by Holly M. Brown-Borg, PhD. http://www.neurobiology-and-neuroendocrinology-of-aging.org/ Society for Free Radical Research - Europe 2014 Free Radicals: insights in signaling and adaptatitve homeostasis. September 7 - 10, 2014, Paris Organized by Bertrand Friguet, Josiane Cillard, Anne-Laure Bulteau, Isabelle Petropoulos. http://www.sfrr-europe.org/ Healthy Aging Therapeutics Symposium at Harvard Medical School September 20-21, 2014 http://www.a4m.com/healthy-aging-therapeutic-symposium-harvard-2014-09.html For discounted registration, call Allen Zatzman at 888-997-0112 ext 7527. He will take $300 off the registration fee. Stem Cell Meeting on the Mesa 4th Annual Regenerative Medicine Partnering Forum October 7 8, 2014, Estancia La Jolla Hotel Spa, La Jolla,
The International Conference for Smart Health 2014,Beijing, China July 10-11, 2014 Website: http://www.icsh2014.org Call for Papers Submissions Advancing Informatics for healthcare and healthcare applications has become an international research priority. There is increased effort to transform reactive care to proactive and preventive care, clinic-centric to patient-centered practice, training-based interventions to globally aggregated evidence, and episodic response to continuous well-being monitoring and maintenance. In 2013, the International Conference for Smart Health 2013 was successfully held in Beijing, China. Researchers and practitioners from related fields attended this conference and engaged in active interdisciplinary discussions concerning bio-medical, IT, and policy topics. The International Conference for Smart Health 2014 is intended to provide a forum for the growing smart health research community to discuss the principles, algorithms and applications of intelligent data acquisition, processing, and analysis of healthcare data. The ICSH conference proceedings will be published by Springer Lecture Notes in Computer Science (LNCS). Selected papers will also be considered for IEEE Intelligent Systems and ACM Transactions on Management Information Systems. =============================================================================== Topics of Interest Topics of interest include, but are not limited to: I. Information sharing, integrating and extraction II. Clinical practice and training III. Mining clinical and medical data IV. Assistive, persuasive and intelligent devices for medical care and monitoring V. Global systems and large-scale health data analysis and management =============================================================================== Paper Submission Instructions All papers submitted for conference consideration will undergo a rigorous peer-review process. Selected papers may be presented as long papers, short papers, or posters/abstracts and will be published as proceedings with Springer Lecture Notes in Computer Science (LNCS). Long papers (12 pages), short papers (6 pages), and posters (3 pages), following the Springer LNCS paper templates in English, may be submitted electronically. Submission file formats are PDF or Microsoft Word. Information on the templates may be found on the Springer website page, Information for LNCS Authors at: http://www.springer.com/computer/lncs?SGWID=0-164-6-793341-0 ). All papers can be submitted via the Easy Chair submission system at: https://www.easychair.org/conferences/?conf=icsh2014 =============================================================================== Important Dates Paper submissions due: April 30, 2014 Conference dates: July 10-11, 2014 We are looking forward to see you in Beijing, China The International Conference for Smart Health 2014 Organizing Team
以前投往国内外学术期刊的文章总是被审稿,当然这些国际审稿人对我们论文的意见尤其是语言方面的建议对于我们学术能力的提高善莫大焉,幸运的是自去年开始我也得到了这样的审稿机会,现将有关经历与感受略作梳理,以为未来继续努力和前进的基石。 2013年7月份我们学校成立了智慧城市研究中心,我和几位同行被中心李主任叫过去开会,自8月1号就开始干活了。但实质上这个所谓智慧城市/知识城市的研究项目我接触的更早,今年4月初接受的一篇国际会议论文我就涉及到这个主题了。也正是在那个时候,我把与合作者撰写的一篇论文投给了一份国际期刊“Water Resources Management”,故事就从这个时候正式开始了。 论文在3月底写好发给期刊社,这个杂志的影响因子超过2了,算是所在领域1区的核心期刊,因此开始我们抱的希望不大,虽然我之前也有给IF过2的期刊像Scientometrics成功投稿过,但那个毕竟是本领域的学术期刊其发文状况我们基本都很了解。大概投稿这件事过去了100天的时候(3月25号—7月4号),也就是我也差不多都忘掉这件事时,突然收到了编辑发来的审稿意见,那个时候期刊的IF已经出来,查了下是2.259。 这次两位审稿人竟然还都是minor revision,但第一个对于我们撰写的前言部分的语言非常不满意提了6条意见,并认为语言写的不好,难以看懂,不过这也是实话,我们才刚转向做涉水的研究,了解的不深入并且英语确实是文章的硬伤;第二位审稿人看起来相当nice,高度评价了论文本身,只是对一些图、表和文本长度提出了4条修改意见,建议对结论部分重新梳理。最后编辑建议我们尽快修改并返回终稿。 这个意见是相当鼓舞人的,7月份刚放暑假我们也不忙,于是我和合作者大战三个日夜,并且打印了全稿还请了一位在武大的美国同行帮我们修改语言,这样三天之后,也就是7月7号,就把改定的文章重新上传到系统里去了。可能那两位审稿人还没来得及休息也对文章还没有忘记,这时非常快的审读了我们的文稿,在系统里看到几天之后他们就重新rereview完了这篇稿件并给编辑部了最后的意见。 7月中旬我去了一趟维也纳,最后直到8月中旬,我终于接到那份同意接受的录用函:We are pleased to inform you that your articlehas been accepted for publication in Water Resources Management.这个时候大家心里的石头终于落地了,包括寒假在内的辛苦并没有白费,这是我们在智慧城市中心项目开始后的第一篇国际期刊论文,8月份接受后第二个月在线刊出,接着正式有了编页并在开学后被SSCI数据库收录。 也许正是这么顺利的投稿和修回,以及录用与刊出,这之后没过多久,在10月中旬我竟然意外接收到这份期刊邀请审稿的邮件,打开系统的附件文档一看,是跟水域/河流网络评价有关的论文,因此我决定尽快看完修回。这篇文章来自国外某知名高校,作者是一位博士后以及两位教授,文稿有20多页,但起初我还不太有审稿经验,就试着给提了5条审稿意见,并将全文使用修改模式大修了一遍上传到系统里了。 没想到10月底我又收到第二份审稿邀请,这次是一位独立作者的水分布网络模型的稿件,我虽然也做网络但是知识网络,这样的研究工作还没做过,他用的方法却是复杂网络的分析我倒还基本能看懂,这次就胆子放大了一些,就他的分析提出了我的8条意见和看法,并且我发现作者也不是来自英、美等国,语言表达上也有瑕疵,就对里面我认为有问题的地方全部修改了一遍,26的文稿啊,但愿我没给人家改错什么地方着。 这次也是快速的把修改稿上传到系统里,因为我自己经常把时间花在漫长的等待中,我不希望他们也辛苦的望眼欲穿,次日收到了编辑部发来的感谢信:Thank you very much for your review of manuscript WARM-D-XXXXX. We greatly appreciate your assistance.这两次审稿和第一次投稿下来,我都处理的飞快,因为以己度人,不希望投稿人浪费时间等待,同时我也理解了我们的稿件平时是怎么流转和在编辑部运作的。 也许就是这样的速度与效率,我就被这个杂志的主编盯上了。这个期刊的发稿特色是评价方面的论文不少,而最早我被分配的审稿者编号是1或者2号还算正常。而2月3号,正是过春节的时候,我收到的一份审稿邀请号码竟然是4,显然这是前面3个审稿人意见相左,主编已经无法判断了,于是趁过年空闲,这篇稿件我迅速的在2天之内发回大修意见,两周后收到作者的修改稿,这位土耳其的副教授改的很粗糙,没有达到预期要求两周后只好给拒稿了。 回头综观了一下以前的审稿记录,基本最终的Accept和Reject跟我的审稿意见还是相符合的,除了3月底发回的一篇还没有最终意见,其他的十余篇还都一致,忽然也感觉蛮有成就感的,毕竟这也是个义务劳动,可以选择审稿或者推掉做别的事情,但只要机会持续,我还是选择认真去审稿的,欧洲的、印度的和美国的稿件给我留下的印象都各不相同,确实很特别的经历了。 投稿和审稿是一个事物的两个方面,我们要投稿也就必须要有人来审稿,国际同行给我们很多中肯的学术提高建议,我也需要珍惜这样的机会,跟杂志的作者尽力交流帮他们去除瑕疵,留得白璧。这是我第一次跟国际上的权威期刊打交道,希望慢慢提高自我,这个过程也许很漫长,但守得云开见日出,一个字:值;两个字:真值。
The 7th International Photonics and OptoElectronics Meetings (POEM 2014), sponsored by Huazhong University of Science and Technology (HUST), China Hubei Provincial Science Technology Department (HBSTD), Wuhan East Lake National Innovation Demonstration Zone (Optics Valley of China, OVC),Hubei Association For Science Technology and Hubei Administration of Foreign Expert Affairs, and organized by Wuhan National Laboratory for Optoelectronics (WNLO) and The Optical Society (OSA), will be held on 18-21June 2014. It is followed by The 12th International Conference on Photonics and Imaging in Biology and Medicine (PIBM 2014) which will open on 14-17 June 2014. POEM brings together a wide range of research, technologies and perspectives in the fields of photonics and optoelectronics. It is not only welcomes all your participation in this important international forum, but also features open to all the individuals and entities worldwide that have interest in joining us by programming and organizing the activities under four technical areas of Biomedical Photonics, Industrial Photonics, Information Photonics and Photonics for Energy. POEM 2014 features two OSA Topic Meetings—Optoelectronic Devices and Integration (OEDI) and Fiber-Based Technologies and Applications (FBTA). By combing different disciplines and comprehensive meeting types, POEM 2014 is to serve as a platform on exchanging information on recent advances and future trends for researchers and to boost brand for the enterprises. Postdeadline Paper Submission : 2 April - 21 May 2014 Submission Deadline is 21 May 2014, No later submission can be accepted . POEM--OSA Topic Meetings : Optoelectronic Devices and Integration (OEDI) Submit now! Fiber-Based Technologies and Applications (FBTA) Submit now! Daily Schedule: Tuesday 17 June Wednesday 18 June Thursday 19 June Friday 20 June Saturday 21 June registration morning registration Short course 1: Bryce Samson Short course 2: to be confirmed Opening Ceremony, Plenary Presentations: Alan E. Willner , Benjamin J. Eggleton , Martin Wegener Plenary Presentations: Xiang Zhang , Qihong Lou closed Parallel Sessions (invited and tutorial talks included): Optoelectronic Devices and Integration (OEDI), Fiber-Based Technologies and Applications (FBTA) Poster Presentations Parallel Sessions (invited and tutorial talks included): Optoelectronic Devices and Integration (OEDI), Fiber-Based Technologies and Applications (FBTA) Poster Presentations Postdeadline Presentations afternoon Workshop Industry Forum: Special Fiber and Fiber Laser Author/Presenter Information Abstract and Summary Deadline is 19 March 2014, 17:00 GMT Submitting to an OSA meeting gives you the added benefit of having your paper peer-reviewed by an expansive committee of experts in the field. If accepted, your paper will be officially published in Optics InfoBase, OSA's Digital Library, and indexed in EI Compendex and Scopus. Deadline: 19 March 2014, 12:00 EDT (UTC -05:00) Log on to the submission site to complete your submission. Optoelectronic Devices and Integration(OEDI) submission site Fiber-Based Technologies and Applications(FBTA) submission site If you would like a confirmation of your submission emailed to you, be sure to select this option during the submission process. Official notification will be emailed to the corresponding/presenting author of accepted presentations. If you have questions about your submission, call +1.202.416.6191 or send an email to cstech@osa.org. More information please link: http://poem.wnlo.cn/For_Authors/Paper_Submission
第一等级:MobiCom, MobiHoc, Infocom 第二等级:ICC, GlobeCom, WCNC, PIMRC, MSWiM 第三等级:VTC 分级的依据主要是影响力,论文的质量以及会议接收率。当然,这三个因素是相辅相成,互为因果的。 第一等级的会议一般对文章篇幅限制很小,基本上和杂志对篇幅的限制一样,比如Infocom一般要求不超过双栏排版的12页。基本上发表在这一等级会议上的文章都具有发表在杂志上的水准。而且因为无线网络发展的速度,大家甚至更愿意文章发表在一流会议,而不是杂志上。从影响力来看,基本上所有做无线网络的人都会关注这一等级会议的文章,并以自己有文章发表在这些会议上为荣。理所当然的,这些会议上文章的引用率一般都远远高于发表在普通会议甚至是杂志上的文章。高回报自然意味着高风险,这一等级会议的接受率往往低的惊人,甚至到了有点“变态”的地步。比如Infocom 2005 的接受率是17.2%,MobiHoc 2005的接受率是14.2%,而MobiCom 2004只有8%!基本上一篇文章要发表在这些会议上,得同时具备想法新,内容有深度,而且做的要完整。难度很大。我列举的这三个会议,MobiCom, MobiHoc规模较小,只接受无线网络研究的论文,而Infocom的规模要大不少,基本覆盖了网络的各个方面。 第二等级的会议通常对文章的篇幅限制较多,一般都在5-6页。文章的质量比起第一等级来说要低,但总体来说还说得过去。要在这些会议上发表文章,想法新,内容有深度和做的完整这三点具备其中的任意两个就可以了。有的时候如果想法新,甚至另外两个还可以再商量。发在这些会议上的文章,通常会被引用上几次,但大部分都不会产生太大的影响。他们的接受率基本可以接受,大概在30%左右。但是比起计算机领域别的专业来说,接受率还是低了一些。没有办法,谁让大家一窝蜂都去做无线呢?我列举的这几个会议,ICC和Globecom基本涵盖通讯,网络的各个方向,接受的论文都在1000以上。WCNC, PIMRC和MSWiM基本集中在无线网络上。 第三等级我暂时只列了一个,别的还没想到。让我把VTC的有关数据列出来大家就明白这一等级的标准了。VTC对文章篇幅的限制是两页,也就是一个extended abstract。VTC每年举办两次,最近一次 (VTC Fall 2005) 的接受率是60%。 总体来说,无线网络的研究粥多僧更多,是造成总体接受率居低不上的最主要原因。还有一方面原因就是无线网络的门槛太低,一个人加上一台电脑就基本上可以做所有的方向了。在这个领域的人拼的就是一个速度,东西不难,大家都可以想到,关键就看谁想的快。最后套用我本科一位老师的比喻(虽然她说的不是网络,是无线通信):这个方向几个数得着的大牛就像主人,我们绝大多数研究人员就像饿狗,无线通信的研究就是饿狗流着口水摇着尾巴等着主人往下扔骨头,然后再一拥而上把骨头在最短的时间内撕的粉碎,再继续等待... 补充一些相关知识: MobiCOM: The Annual International Conference on Mobile Computing and Networking Sponsored by ACM SIGMOBILE 官方网址: http://www.sigmobile.org/mobicom/ ICC: IEEE International Conference on Communication 官方网址: http://www.ieee-icc.org/ GlobeCOMM :Global Communication Conference http://www.ieee-globecom.org/ VTC: IEEE Vehicular Technology Conference,EI检索,IEEE VTC会议全球每年举办两次Spring和Fall IEEE通信领域的主要会议介绍: GLOBECOM (IEEE Global Communications Conference ) ICASSP (IEEE International Conference on Acoustics, Speech, and Signal Processing) ICC (IEEE International Conference on Communications ) INFOCOM (IEEE Conference on Computer Communications) MILCOM (IEEE Military Communications Conference ) OFC (IEEE Optical Fiber Communication Conference and Exposition) PIMRC (IEEE International Symposium on Personal, Indoor and Mobile Radio Communications) SPAWC (IEEE Workshop on Signal Processing Advances in Wireless Communications) VTC (IEEE Vehicular Technology Conference) WCNC (IEEE Wireless Communications Networking Conference) CSNDSP (IEEE Communication Systems, Networks Digital Signal Processing) CTW (IEEE Communications Theory Workshop) ICACT (IEEE International Conference Advanced Communication Technology) ICCCAS (IEEE International Conference of Communications, Circuits and Systems) INCC (IEEE International Networking and Communications Conference) ISCC (IEEE Symposium on Computers and Communications) ISSSTA (IEEE International Symposium on Spread Spectrum Techniques and Applications) ISWCS (IEEE International Symposium on Wireless Communication Systems) ISIT (IEEE International Symposium on Information Theory) CCNC (IEEE Consumer Communications Networking Conference)
The 7th International Photonics and OptoElectronics Meetings (POEM 2014) Second CALL FOR PAPERS Abstract and Summary Submission Deadline : 19 March 2014 12:00 EST (17:00 GMT) POEM--OSATopic Meetings: Optoelectronic Devices andIntegration (OEDI) Fiber-Based Technologies andApplications (FBTA) ·Broad range topics covered ·Address the latest developments ·Bridge of academia and industry ·Platform to promote your research to the world Welcome to POEM 2014, Wuhan! The 7th InternationalPhotonics and OptoElectronics Meetings (POEM 2014), sponsored by HuazhongUniversity of Science and Technology (HUST), China Hubei Provincial Science Technology Department (HBSTD), Wuhan East Lake National InnovationDemonstration Zone (Optics Valley of China, OVC),Hubei Association For Science Technology and Hubei Administration of Foreign Expert Affairs, and organizedby Wuhan National Laboratory for Optoelectronics (WNLO) and The Optical Society(OSA), will be held on 18-21June 2014. It is followed by The 12thInternational Conference on Photonics and Imaging in Biology and Medicine (PIBM2014) which will open on 14-17 June 2014. POEM brings together awide range of research, technologies and perspectives in the fields ofphotonics and optoelectronics. It is not only welcomes all your participationin this important international forum, but also features open to all theindividuals and entities worldwide that have interest in joining us byprogramming and organizing the activities under four technical areas ofBiomedical Photonics, Industrial Photonics, Information Photonics and Photonicsfor Energy. POEM 2014 features twoOSA TopicMeetings—Optoelectronic Devices and Integration (OEDI) andFiber-Based Technologies and Applications (FBTA). By combing differentdisciplines and comprehensive meeting types, POEM 2014 is to serve as aplatform on exchanging information on recent advances and future trends forresearchers and to boost brand for the enterprises. Supporters: Ministryof Education of China (MOE) StateAdministration of Foreign Experts Affairs (SAFEA) NationalNatural Science Foundation of China (NSFC) InternationalCommission for Optics (ICO) Hosts: HuazhongUniversity of Science and Technology (HUST) ChinaHubei Provincial Science Technology Department (HBSTD) WuhanEast Lake National Innovation Demonstration Zone (Optics Valley of China, OVC) HubeiAssociation for Science Technology HubeiProvincial Foreign Experts Affairs Bureau Organizers: WuhanNational Laboratory for Optoelectronics (WNLO) TheOptical Society (OSA) CooperatingSocieties: TheInstitution of Engineering and Technology (IET) IEEEPhotonics Society (Singapore and Hongkong Chapters) Institute of Physics(IOP) LaserInstitute of America (LIA) ChineseOptical Society (COS) TheInternational Society of Optics and Photonics (SPIE) Two POEM-OSA Topical Meetings OptoelectronicDevices and Integration (OEDI) The meeting addresses all aspects ofoptoelectronic devices and integration from concepts, design, modeling,fabrication and characterization of micro/nano-photonic devices and integrationto optical communication, optical signal processing, and optical networkapplications. The topics cover concepts/design/modeling/ fabrication/characterization of optoelectronic devices andintegration. Topics of interest include silicon photonics, surface plasma andplasmonics, optoelectronic devices, nonlinear optical devices, polymer devices,radio over fiber related devices, free-space communications related devices,optoelectronic monolithic integration and hybrid integration. Special emphasiswill be given to optical communication and optical signal processingapplications such as nano technologies, optical interconnection, opticalnetwork subsystems, microwave photonics, radio over fiber subsystems, nonlinearall-optical signal processing, and free-space communications. Papersare being accepted in these areas: · Silicon photonics and optical interconnection · Surface plasma and plasmonics · Optoelectronic devices/optical network subsystems · Microwave photonics and radio over fiber devices andsubsystems · MEMS and MOEMS · Binary optics and its applications · Nonlinear optical devices and all-optical signal processing · Nano technologies and their applications in optoelectronic devices · Slow and fast light devices and related technologies · Free-spacecommunications related devices and technologies · Polymer optoelectronic devices and integration Fiber-BasedTechnologies and Applications (FBTA) The meeting addresses all aspects of optical fibers fromfundamental material, design, fabrication and cabling, specialty fibers, linearand nonlinear properties of fibers, fiber devices and its applications such asfiber gratings, fiber amplifiers, fiber lasers and fiber sensors,etc. The topics cover theoretical and experimental research anddevelopments of optical fibers, fiber materials, fiber devices and theapplications in communications, lasers, amplifiers and sensing. Specialemphasis will be given to innovative specialty fiber designs, fabrications, andapplications covering visible, IR and THz spectrum. Papers are being accepted in these areas: · Optical fiber design andcharacterization · Specialty fibers(polarization maintaining, dispersion compensating, dispersion managed,rare-earth-doped) · Microstructured and nanostructured fibers · Fiber dispersion, polarization andnon-linearities · Fiber cabling and array connectors · Fiber grating devices · Couplers and fiber-based components · Fiber alignment and packaging · Fiber amplifiers · Fiber lasers · Fiber sensors Contact: poem@mail.hust.edu.cn ; http://poem.wnlo.cn/ Tel: +86-27-87792227 LocalOrganizing Committee Chairs: OEDI: Jian Wang, Wuhan NationalLaboratory for Optoelectronics HUST (China) E-mail: jwang@mail.hust.edu.cn Tel : + 86-27- 87792242-801/807 FBTA: Jinyan Li, Wuhan NationalLaboratory for Optoelectronics (China) E-mail : ljy@hust.edu.cn Tel : + 86-27- 87559463 Ming Tang , Huazhong University ofScience and Technology (China) E-mail : tangming@mail.hust.edu.cn Tel : + 86-27- 87543355 公众微信 Weixin/WeChat: 敬请微信关注 (可以随时了解武汉光电国家实验室、 POEM2014 国际会议、光电论坛等学术科研动态) 方法1:微信“添加朋友” “查找微信公众账号”搜索“ WNLO_POEM ” , 或直接扫一下二维码加“关注”即可 方法2:新版微信“发现” “添加朋友” “查找公众号”,输入“POEM与光电论坛”(或关键词模糊查找),开启“接收消息”,在订阅号中接收信息。 新浪微博 Sina MicroBlog: WNLO_POEM
Abstract and Summary Submission Deadline : taking into the requests from the participants, the submission deadline extended to 19 March 2014 12:00 EST (17:00 GMT) Plenary Speakers Optoelectronic Devices and Integration (OEDI) Prof. Alan E. Willner Ming Hsieh Dept. of Electrical Engineering, University of Southern California, USA Title : Exciting Prospects for Optical Signal Processing Abstract : Data signal processing is of extreme value for efficient communication systems. This plenary presentation will explore: (a) potential advantages of using optics for signal processing, (b) functions that might be valuable to be performed optically, especially at the line rate, and (c) approaches for high-speed manipulation of amplitude, phase, polarization and/or wavelength domains. Specifically, this plenary will describe key enabling technologies (e.g., multicasting, multiplexing, demultiplexing and tapped-delay-lines) and potential applications (e.g. equalization, correlation and logic). Biography : Alan Willner has worked at ATT Bell Labs and Bellcore, and he is the Steven Kathryn Sample Chair in Engineering at the University of Southern California. He received the Int'l Fellow of the U.K. Royal Academy of Engineering, NSF Presidential Faculty Fellows Award from the White House, Packard Foundation Fellowship, Guggenheim Fellowship, IEEE Eric Sumner Award, OSA Forman Engineering Excellence Award, IEEE Photonics Society Engineering Achievement Award, and SPIE President's Award. He has served as Co-Chair of U.S. National Academies Study on Optics Photonics, President of IEEE Photonics Society, Co-Chair of OSA Science Engineering Council, General Co-Chair of CLEO, and Editor-in-Chief of Optics Letters, IEEE/OSA J. of Lightwave Technology and IEEE J. of Selected Topics in Quantum Electronics. He is Fellow of AAAS, IEEE, OSA and SPIE, and he has 1000 publications in optical technologies. Prof. Xiang Zhang Department of Mechanical Engineering, University of California at Berkeley, USA Title : Photonics Beyond Diffraction Limit: Plasmon Waveguide, Cavities and Integrated Laser Circuits Abstract : I will discuss recent development in scaling down of photonics. First I will present theoretical and experimental investigation of passive low loss waveguide using hybrid plasmon design. We propose a new optical cavity design approach using indefinite medium that has a drastically different scaling law than conventional microcavities, and discuss its experimental demonstrations. Finally we will show an active plasmonic laser circuit that integrated with 5 tiny cavities that multiplexed into a single waveguide-an effort towards integrated photonics at nano-scale. Biography : Xiang Zhang is the Ernest S. Kuh Chaired Professor at the University of California, Berkeley and the Director of the NSF Nano-scale Science and Engineering Center (SINAM). He is a member of the US National Academy of Engineering (NAE), Academia Sinica (Republic of China) and fellow of APS, OSA, AAAS and SPIE. His group’s research in optical metamaterials was selected by Times Magazine as “Top 10 Scientific Discoveries in 2008”. Xiang Zhang was a recipient of the NSF CAREER Award, Rohsenow Lecturer at MIT, William C. Reynolds Lecturer at Stanford University, Fred Kavli Distinguished Lecturer at Materials Research Society (MRS), SME Dell K. Allen Outstanding Young Engineer Award and ONR Young Investigator Award. He received his BS/MS in physics in Nanjing University, China, and Ph.D from UC Berkeley in 1996 and was on faculty at Pennsylvania State University and UCLA prior returning Berkeley in 2004. Prof. Benjamin J. Eggleton CUDOS, School of Physics, University of Sydney, NSW Australia Title : Nanophotonics in the New Information Age: Faster, Smaller, Smarter and Greener Abstract : My talk will review recent progress in developing nanophotonics circuits for all-optical signal processing for applications in digital, analogue and quantum communications Biography : Benjamin J Eggleton is an ARC Laureate Fellow and Professor of Physics at the University of Sydney, Director of the ARC Centre for Ultrahigh bandwidth Devices for Optical Systems (CUDOS), and Director of the Institute of Photonics and Optical Science (IPOS) at the University of Sydney. He obtained the Bachelor’s degree (with honors) in Science and the PhD degree in Physics from the University of Sydney, Sydney, NSW, Australia, in 1992 and 1996, respectively. In 1996, he joined Bell Laboratories, Lucent Technologies as a Postdoctoral Member of Staff, and was then promoted to Research Director within the Specialty Fiber Business Division of Bell Laboratories, where he was engaged in forward-looking research supporting Lucent Technologies business in optical fibre devices. He is the author or co-author of more than 350 journal publications and over 100 invited presentations with 11,000 citations and an h-index of 51. Professor Eggleton is a Fellow of the Optical Society of America, IEEE Photonics and the Australian Academy of Technological Sciences and Engineering (ATSE). He has received numerous prizes for his research achievements, including the 2011 Walter Boas Medal from the Australian Institute of Physics, the 2011 Eureka Prize for Leadership in Science, the 2008 NSW Research Physicist of the Year medal, the 2007 Pawsey Medal from the Australian Academy of Science, the 2004 Malcolm McIntosh Prize for Physical Scientist of the Year, the 2003 International Commission on Optics (ICO) Prize and the 1998 Adolph Lomb Medal from the Optical Society of America, the Distinguished Lecturer Award from the IEEE/Lasers and Electro-Optics Society, and the RD100 Award. He was President of the Australian Optical Society and is currently Chief Editor for Optics Communications. Fiber-Based Technologies and Applications (FBTA) Martin Wegener Karlsruhe Institute of Technology, Germany Biography After completing his PhD in physics in 1987 at Johann Wolfgang Goethe-Universit琀 Frankfurt (Germany), he spent two years as a postdoc at ATT Bell Laboratoriesin Holmdel (U.S.A.). From 1990-1995 he was C3-Professor at Universit琀 Dortmund (Germany), since 1995 he is C4-Professor at Universit琀 Karlsruhe (TH). Since 2001 he has a joint appointment at Institut für Nanotechnologieof Forschungszentrum Karlsruhe GmbH. Since 2001 he is also the coordinator of the DFG-Center for Functional Nanostructures (CFN)in Karlsruhe. His research interests comprise ultrafast optics, (extreme) nonlinear optics, near-field optics,photonic crystals, photonic metamaterials, and transformation optics. This research has led to various awards and honors, among which are the Alfried Krupp von Bohlen und Halbach Research Award 1993, the BadenWürttemberg Teaching Award 1998, the DFG Gottfried Wilhelm Leibniz Award 2000, the European Union René Descartes Prize 2005, the Baden-Württemberg Research Award 2005, and the Carl Zeiss Research Award 2006. He is a member of Leopoldina, the German Academy of Sciences (since 2006), Fellow of the Optical Society of America (since 2008), Fellow of the Hector Foundation (since 2008), and Adjunct Professor at the Optical Sciences Center, Tucson, U.S.A. (since 2009). OSA Topical Meeting --- OEDI Plenary Speakers: Alan E. Willner, University of Southern California (USA) Xiang Zhang, University of California, Berkeley (USA) Benjamin J. Eggleton, University of Sydney (Australia) Tutorial Speakers (to be continued): Siyuan Yu, University of Bristol (UK) Invited Speakers (to be continued): Peter G.R. Smith, University of Southampton (UK) Ming Li, Institute of Semiconductor, Chinese Academy of Sciences (China) Handong Sun, Nanyang Technological University (Singapore) Alan P.T. Lau, Hong Kong Polytechnic University (China) Xianmin Zhang, Zhejiang University (China) Yong Liu, University of Electronic Science Technology of China (China) Yanqing Lu, Nanjing University (China) Xu Wang, Heriot-Watt University (UK) Jian Wu, Beijing University of Posts and Telecommunications (China) Yikai Su, Shanghai Jiao Tong University (China) Kun Xu, Beijing University of Posts and Telecommunications (China) Lianshan Yan, Southwest Jiaotong University (China) Periklis Petropoulos, University of Southampton (UK) Guowei Lu, National Institute of Information and Communications Technology (NICT) (Japan) Chunqing Gao, Beijing Institute of Technology (China) Changzheng Sun, Tsinghua University (China) Wei Jiang, The State University of New Jersey (USA) Eric CASSAN, Université Paris-Sud (PSud) (France) Changyuan Yu, National University of Singapore (Singapore) George Y. Chen, University of Southampton (United Kingdom) Junqiang Sun, Huazhong University of Science and Technology (China) Guangqiong Xia, Southwest University (China) Xia Guo, Beijing University of Technology (China) Lilin Yi, Shanghai Jiao Tong University (China) Chaoyang Lu, University of Science and Technology of China (China) Limin Tong, Zhejiang University (China) Zuyuan He, Shanghai Jiao Tong University (China) OSA Topical Meeting --- FBTA Plenary Speakers: Martin Wegener, Karlsruhe Institute of Technology (Germany) Qihong Lou, Shanghai Institute of Optics and Fine Mechanics, CAS (China) (to be confirmed) Invited Speakers (to be continued): H.Y. Tam, Hong Kong Polytechnic University (HK) Bryce Samson, Nufern (US) Kyunghwan (Ken) Oh, Yonsei University (South Korea) Luc Thévenaz, Swiss Federal Institute of Technology (EPFL) (Swiss) Martin Wegener, Karlsruhe Institute of Technology (Germany) Aleksei KOSOLAPOV (Russia) Gordon Wong, Max Planck Institute for the Science of Light (Germany) Mingjun Li, Corning Inc. (US) Chris Emslie, Fibercore (UK) Francesco Poletti, University of Southampton (UK) Zhaohui Li, Jinan University (China) Luming Zhao, Jiangsu Normal University (China) Han Zhang, Hunan University (China) Qinyuan Zhang, Southern China University of Technology (China) Baiou Guan, Jinan University (China) Qihong Lou, Shanghai Institute of Optics and Fine Mechanics, Chinese Academy of Sciences (China) Jun Zhou, Shanghai Institute of Optics and Fine Mechanics, Chinese Academy of Sciences (China) Pu Wang, Beijing University of Technology (China) Zhongmin Yang, Southern China University of Technology (China) Fengping Yan, Beijing Jiaotong University (China) Minglie Hu, Tianjin University (China) Jing Hou, National University of Defense Technology (China) Dapeng Yan, Wuhan Raycus Fiber Laser Technologies Co., Ltd (Raycus) (China) Xiangdong Cao, Wuhan Hongtuo new technology limited company (China) Poster_Presentation_Guidelines_POEM.pdf 公众微信 Weixin/WeChat: 敬请微信关注 (可以随时了解武汉光电国家实验室、 POEM2014 国际会议、光电论坛等学术科研动态) 方法1:微信“添加朋友” “查找微信公众账号”搜索“ WNLO_POEM ” , 或直接扫一下二维码加“关注”即可 方法2:新版微信“发现” “添加朋友” “查找公众号”,输入“POEM与光电论坛”(或关键词模糊查找),开启“接收消息”,在订阅号中接收信息。 新浪微博 Sina MicroBlog: WNLO_POEM
The 7th International Photonics andOptoElectronics Meetings (POEM 2014), sponsored by Huazhong University ofScience and Technology (HUST), China Hubei Provincial Science TechnologyDepartment (HBSTD), Wuhan East Lake National Innovation Demonstration Zone(Optics Valley of China, OVC),Hubei Association For Science Technologyand Hubei Administration of ForeignExpert Affairs, and organized by Wuhan National Laboratory for Optoelectronics(WNLO) and The Optical Society (OSA), will be held on 18-21 June 2014. It isfollowed by The 12th International Conference on Photonics and Imaging in Biologyand Medicine (PIBM 2014) which will open on 14-17 June2014. The two OSA topical meetings ofPOEM are Optoelectronic Devices and Integration (OEDI) and Fiber-BasedTechnologies and Applications (FBTA) , withinvited talks of 16 and 22 respectively. For Devices and Integration (OEDI), Prof.Arnan Mitchell from Royal Melbourne Institute of Technology (Australia) and Prof.Xinliang Zhang from Wuhan National Laboratory for Optoelectronics, HUST(China) will be the program committee co-chairs. Prof. Jian Wang, WuhanNational Laboratory for Optoelectronics, HUST (China) will be the local organizingcommittee chair. For Fiber-Based Technologies and Applications (FBTA), Prof.Liang Dong from Clemson University (USA) and Prof. Perry Shum from NanyangTechnological University (Singapore) will be the program committee co-chairs. Prof.Jinyan Li and Prof. Ming Tang, both from Huazhong University ofScience and Technology (China) will be the local organizing committeechairs. I t is estimated that there will be about 400 representatives in the conference. So far, Prof. Alan E.Willner from University of Southern California (USA) ,AssociateDirector for the Center for Photonics Technology and also the president of OSA(2014-2016), Prof. Xiang Zhang from Universityof California, Berkeley (USA) and also a member of The National Academy ofEngineering of America, Prof. Benjamin J.Eggleton from University of Sydney (Australia) and also the ARC AustralianLaureate Fellow ,the director of the Centre for Ultrahigh bandwidth Devices forOptical Systems(CUDOS) ,director of the Institute of Photonics and OpticalScience of University of Sydney , Prof. Martin Wegener from KarlsruheInstitute of Technology (Germany) and also a member of Leopoldina, theGerman Academy of Sciences (since 2006) and Prof. Qihong Lou from ShanghaiInstitute of Optics and Fine Mechanics, CAS (China) will give plenary talks.Prof. Siyuan Yu from University of Bristol (UK) will give the tutorial talk. In both conferences, there will not onlyhave plenary talks and invited talks, it will also add short courses, tutorial talksand workshops to enrich the content of the conference. The conference will alsoreceive post deadline papers. For more information, please click http://poem.wnlo.cn/ . 第七届国际光子与光电子学会议发布特邀报告人名单 第七届国际光子与光电子学会议 ( POEM 2014 ) 将于 2014 年 6 月 18-21 日在武汉举行。国际光子与光电子学会议 ( International Photonics and OptoElectronics Meetings ,简称 POEM )是由武汉光电国家实验室 ( WNLO ) 发起的覆盖光电子领域的大规模、多学科、综合性、高水平国际会议。经过多年坚持不懈的努力,已经形成一个紧密依托“武汉中国光谷”、汇集众多国内外知名专家、学者、学术机构和企业,集学术性和实用性于一体的高水平、高质量学术会议品牌。 POEM 2014 将在坚持自身特色的同时,与美国光学学会 ( OSA ) 联合举办两个 POEM-OSA 专题会议:光电子器件与集成会议 ( OEDI ) 以及光纤技术与应用会议 ( FBTA ) 。此外,亚洲最大的生物医学光子学盛会“第十二届生物医学光子学与成像技术国际学术研讨会 (PIBM2014) ”也将于 2014 年 6 月 14-17 日举行。 光电子器件与集成专题会议 ( OEDI ) 届时将由澳大利亚皇家墨尔本理工大学 Arnan Mitchell 教授和张新亮教授担任程序委员会主席,王健教授担任本地组委会主席。光纤技术与应用专题会议 ( FBTA ) 将由美国克莱姆森大学的 Liang Dong 教授和新加坡南洋理工大学的 Perry Shum 教授担任程序委员会主席,李进延教授和唐明教授担任本地组委会主席。预计参会代表人数 400 人。 目前,会议已邀请到美国光学学会主席、南加州大学 Alan E. Willner 教授,美国国家工程院院士、美国加州大学伯克利分校张翔教授,澳大利亚桂冠院士、澳大利亚研究理事会光学系统超高带宽器件中心 ( CUDOS ) 主任、悉尼大学光子与光学科学研究所主任 Benjamin J. Eggleton 教授,德国科学院院士、德国卡尔斯鲁厄理工学院 Martin Wegener 教授,中国科学院上海光机所楼祺洪研究员作大会特邀报告。英国布里斯托大学余思远作辅导报告。 会议将在继续保持高质量的大会全体报告和特邀报告、严格的海报评审环节和优秀学生论文奖竞争单元之外,增加 short course,tutorial 和 workshop 等多种形式的会议环节,并接收 postdeadline papers 。 更多特邀报告人名单详见会议网站: http://poem.wnlo.cn/ 众微信 Weixin/WeChat: 敬请微信关注 (可以随时了解武汉光电国家实验室、 POEM2014 国际会议、光电论坛等学术科研动态) 方法1:微信“添加朋友” “查找微信公众账号”搜索“ WNLO_POEM ” , 或直接扫一下二维码加“关注”即可 方法2:新版微信“发现” “添加朋友” “查找公众号”,输入“POEM与光电论坛”(或关键词模糊查找),开启“接收消息”,在订阅号中接收信息。 新浪微博 Sina MicroBlog: WNLO_POEM
转载自: http://emuch.net/html/201206/4586366.html 原文书接上一回,见帖 http://emuch.net/bbs/viewthread.php?tid=4495593 。上回大话了机器人领域的SCI期刊杂志,这一回道道机器人领域国际会议的“是非”。 【声明】这个帖子里面所提到的国际会议,是严格的以“International Conference”作为标识的正式国际会议。那些发迹于国内、自出娘胎以来就没出过国的、一直都由国内所谓的大牛当家的、骗钱坑爹的会议,一边去。我不了解这些会议,只在小虫时不时看到“抵制叉叉会议”、“叉叉会议骗钱”。当然,如果有公认质量一级棒的,欢迎补充分享。 =============================================================================== 【对国际会议的不同看法】 目前,基本上国内对研究生培养的评价、项目验收等等,几乎难免一定程度上以论文论英雄。从市场经济的角度,这个缺口得多大呀?所以国际会议也是铺天盖地,良莠不齐。“坑钱”、“吃喝”、“游玩”、“水分多”、“水平低”等等贬义词纷纷被扣到头上。另外,一些土生土长的导师,本来就没怎么接触过国际会议,那凭什么他会\能指引学生往这方面走呢?既然闲聊这个话题,就应该先“正”这个看法。 在我看来,研究生就应该积极主动地多参加国际会议。好处至少包括: (1)拓宽眼界,包括科学技术、风土人情等等。 (2)及时获取自己研究领域的最新资讯,追踪研究的最前沿。 (3)结识研究领域的专家学者,积攒自己的“人力资源”小金矿。 (4)交流研究心得,相互指点一二,肯定获益良多。 (5)锻炼、展示自我以及自己的研究成果,收宣传之效。特别是那些想继续出国深造的,绝对是不可多得的机会。 (6)跟MIT、CMU等名校研究生比较比较,更清晰自己水平如何,以后何去何从。所谓“欲知马儿好不好,拉出来跑一跑”。 (7)论文发表快、检索收录所需时间短。一般从投稿至EI检索,9-12个月足矣。 针对鱼龙混杂的国际会议,我们应该学会去鉴别好坏。顶级会议,积极参加;垃圾会议,坚决鄙视!因此,本帖“应运而生”,希望能给机器人领域(作为一强交叉学科,可能涵盖机械、电子、计算机、自动化、控制等等)的同路人一点点参考。 =============================================================================== 【机器人领域几大国际会议】 (1)ICRA:IEEE International Conference on Robotics and Automation,即IEEE机器人和自动化国际会议,由IEEE Robotics and Automation Society (RAS,机器人和自动化学会)主办,该领域规模(千人以上)和影响力最大的顶级国际会议。至今(2012年)已经成功举办了29届,只在中国举办过一次(2011年,中国上海)。ICRA每年都设立一个主题(Theme),例如Better Robots, Better life@2011,Robots and Automation: Innovation for Tomorrow's Needs.@2012。另外,ICRA附带有一个精彩的展览,例如ICRA2012上露面的包括全球第一个宇航机器人Robonaut、当今最先进的医疗机器人Davinc...ICRA一般每年9月截止收稿,来年5月中上旬举行会议,全EI光盘版收录。近两年收稿情况:2011年,收稿约2000余,录用约980,录用率约49%;2012年,收稿2032篇,录用818篇,录用率40.25%,为历年最低。ICRA2013、2014将分别在Karlsruhe, Germany和中国香港举行。 (2)IROS:IEEE\RSJ International Conference on Intelligent Robots and Systems,即IEEE\RSJ智能机器人与系统国际会议,主要由IEEE RAS,RSJ(the Robotics Society of Japan)等五个协会发起,规模(千人左右)和影响力仅次于ICRA的顶级国际会议。IROS始于1988年、日本,曾在中国举办过两次:2006年北京和2010年台湾,2012年10月将在葡萄牙举行第24届年会。与ICRA一样,IROS一般也有主题并附带一个机器人展览。一般每年3月截止收稿,同年10月中旬举行会议,全EI光盘版收录。近两年收稿情况不详,但录用率大概在30-50%之间,IROS2011貌似创造了历史新低。IROS2013、2014将分别在日本东京和美国芝加哥举行。 (3)ROBIO: IEEE International Conference on Robotics and Biomimetics,即IEEE机器人学和仿生学国际会议,同样是IEEE RAS门下的系列会议之一。规模(数百)与影响力次于前两者,截至2011年已成功举办了8届。特别要提一下的是:ROBIO目前实力比前两者是差些,但它是该领域华人区着力打造的品牌国际会议,希望大家共同出份力!也正因此,ROBIO经常光临中国,可能用“经常在中国混”更准确。一般每年7月中旬截止收稿,同年12月初举行会议,一般也是全EI光盘版收录。ROBIO2012将在中国广州举行,Call for Paper见: http://www.ualberta.ca/~robio12/ , 截稿时间为2012年7月15日。 以上“三大”基本可作为机器人领域国际会议的第一阵容。本领域的研究生应该瞄准它们,特别是ICRA和IROS。虽然每年的Call for paper都会有各自的Scope,但都大同小异,基本上与机器人相关的所有Topic都可以投稿。这三大盛会,出席的专家、杂志编委、各大世界名校的学生(不过很可能让自己惭愧,感叹这差距也忒大了吧...)最多。国外大学对三大会议的认可度很高:教授们会自豪地把发表在上面的文章放到自己的CV里,名校研究生的博士论文后面我们称之为“已发表论文”一栏可能就只有这些会议的论文(也就只有国内才坑爹地要求发多少多少SCI以上吧==!)。 (4)AIM:IEEE\ASME International Conference on Advanced Intelligent Mechatronics,即IEEE\ASME先进智能机电一体化国际会议。由IEEE RAS,IEEE IES(Industrial Electronics Society)和 DSCD (ASME Dynamic Systems and Control Division)主办,到2011年已成功举办了10届。一般1月中旬截稿,同年7月初举行会议。AIM2012、2013将分别在台湾高雄和Wollongong Australia举行。 (5)ICMA:IEEE International Conference on Mechatronics and Automation,即IEEE机械电子自动化国际会议。IEEE RAS主办,生于中国(2004年,成都),基本长于中国,但也出过国门(加拿大和日本)。所以相对而言,ICMA是比较中国化的国际会议,但又与国内一些大学教授主办的会议有着本质区别,流着ICRA、IROS一样的血,只是影响力有限呀。ICMA在2012将会第一次“回家(成都)”,ICMA2013将在日本高松举行。 (6)Humanoid: IEEE International Conference on Humanoid Robots,即IEEE仿人机器人国际会议,仍然由IEEE RAS主办。Humaniods2012是第12届年会,将于11月29日至12月1日在日本大阪举行。 以上三个会议可看做第二阵容,规模大概在2~5百人左右(大概ICMAAIMHumanoid),影响力可能AIM=HumanoidICMA,一般情况下都会是EI光盘版收录。虽然AIM和ICMA名字上突出机电一体化,但实际上与机器人相关的Topic几乎都可以投稿。顾名思义,Humanoid相对比较专,着重于仿人方面,包括上肢(Robotic Hand \ Manipulation \ Grasp Planning...)、下肢(Walking \ Obstacle-negotiating...)、人的神情等等。 (7)CLAWAR: International Conference on Climbing and Walking Robots,攀爬和行走机器人国际会议,主要由欧洲的一个CLAWAR Association举办。显然,这也是一个很专的会议,主要关注移动机器人方面。具体情况不太了解@-@。 (8)IFToMM旗下的会议,例如IFToMM World Congresses in Mechanism and Machine Science(四年一次)等。IFToMM,the International Federation for the Theory of Mechanisms and Machines,即国际机构学和机器科学联合会,每年都赞助挺多的会议(例如可重构机器人的),应该有很多都不错,具体也不太了解,感兴趣的可以到以下网址看看: http://www.iftomm.org/index.php?option=com_contentview=articleid=31:conferencescatid=13Itemid=141 (9)ICIA:IEEE International Conference on Information and Automation,即IEEE信息与自动化国际会议,IEEE RAS主办,应该是2004年发源于合肥,到2012年第九届。相比ROBIO和ICMA,ICIA更加中国化。但它并不“水”,到它的官网一样可以看到IEEE RAS的各路风云人物参会露面。貌似这个会议前些年不能保证全部EI光盘版收录(不是说会议组织方的问题,更多的应该是论文本身的问题),之前出现过EI网络版收录的。 =============================================================================== 【国际会议的主要活动(Program)】 学术活动(活动名字会大同小异): Keynote talk —— 大会最大规模的一个报告,一般在开幕式之后。如ICRA2011由欧阳自远做的关于嫦娥工程的大会报告。 Plenary Speech —— 专题演讲,每天(上午\下午)各Interactive Sections开始之前,邀请一名大牛做的大报告。这些大牛一般都极其有分量,是各个领域的领军人物,拿起麦克风就神采飞扬,听着也是一种享受。 Forums —— 各种论坛,讨论为主。 Workshops and Tutorials —— 专题报告、研讨会,这个要额外注册,没参加过... Robot Challenge —— 机器人挑战赛。每年不一样的难题,参赛选手现场调试、挑战。但也并非每个会议都有。 Interactive Sections —— 即我们参会做报告、听报告的环节。 根据会议组织方的安排,可能还有Technical Tours、Student Activities等等活动。 其他活动: Welcome Reception 接待宴(不是必有的) Open Ceremony 开幕式 Awards Ceremony 颁奖典礼 Farewell Party 欢送宴 有些会议直接把后两者合在一起(Adwards Banquet)。 具体安排都可在会议摘要(Digest,参会的葵花宝典)里面查到,所以拿到Digest之后,可以花点时间翻一翻,先把自己感兴趣的报告\重要活动的时间、地点圈出来。 作为学生,可以重点参加: Keynote talk, Plenary Speech, Interactive Sections(挑感兴趣的),尽量参加: Forums, Awards Ceremony, Welcome Reception, Farewell Party。 IEEE会议一般设有多个奖项,重头戏是Best Conference Paper Award,Best Conference Video Award 和Best Student Conference Paper Award。相应地,一些高水平但PK落败的paper/Video会进入最终提名奖(Finalist)。对于ICRA和IROS来说,要从两千多篇论文脱颖而出,其分量可想而知!所以有一些国外教授来华做讲座时,其简历介绍会提到Best Paper相关内容... =============================================================================== 【投稿难易程度】 (1)ICRA和IROS作为第一阵容的顶级会议,投稿难易程度跟国内《机械工程学报》、《机器人》等几乎相当。大家千万不要被它30%~50%的录用率所迷惑了。在欧美国家举行时,甚至会比后者要求还高,对创新点和贡献等指标卡得相当严。 (2)余下我了解的,大概ROBIOAIM=ICMAICIA。论文有些创新、理论和实验想验证,写得通顺流畅,都很有希望。 (3)CLAWAR和Humanoid的没投过,估计也不容易。 (4)IFToMM的完全无发言权,求补充。 【国际会议论文评审的几个关键点】 Originality of concepts (points 1-5) Technical soundness (1-5) Thoroughness of results (1-5) Importance of results (1-5)/ Relevance of Application (1-5) Clarity of representation (1-5) Final Recommedation (Grade 1-5) Comments to Authors 也就是说,评审一般关注的:论文是否原创(或者创新性如何)、技术是否可靠、结论是否彻底、结果是否重要或者有应用价值、表述是否清晰等几个主要方面,最后形成一个总评分(大概就是以上各项的加权平均和)。这个评级一般:4.5-5分可提名最佳论文奖,4-4.5绝对录用,3-4可以录用,3分左右可能分到POSTER区(即以海报形式展示),3分以下基本就没戏了。(一些烂会议2分以上的就要,只要长得像篇文章,它就录了==!完全是制造垃圾,搞坏名声。) P.S. 看到这个评分标准,怎么写好一篇国际会议论文,你应该心里有数了吧? =============================================================================== 【查找国际会议的几个网站】 (1)IEEE官网: http://www.ieee.org/conferences_events/index.html IEEE的系列会议都可以查到,可按照时间、地区、关键字等搜索。 (2) http://www.allconferences.com/ ——在TZ_Liu的帖子里看到的,不错。 (3) http://scienceindex.researchbib.com/ 同样可以按地区、时间查找,但貌似不是特别全。 (4) http://www.meeting.edu.cn/meeting/meeting/notice/noticelistS.jsp 国内中文的。 =============================================================================== 最后,庆幸自己跟了一个海龟BOSS,一钟情于高水平国际会议的BOSS。(偷偷做个广告:欢迎成为我们的师弟、师妹^_^) 一如既往地,发主题帖散光所有身家。上一次不懂规矩,不知道要收“10%手续费”,这次我可是用公式X(1+0.1)=102算D!!
PED2014 The Conference on Pedestrian and Evacuation Dynamics ’14 (PED 2014) brings together professionals and international researchers from fields ranging from computer sciences to sociology to operational management. The conference will address both pedestrian and evacuation dynamics and associated human behaviour to provide answers for policy makers, designers, and operational management to solve real world problems. During PED 2014 both theory and application of knowledge concerning human movement behaviour will be discussed extensively. The seventh edition will be held at Delft University of Technology in the Netherlands. http://www.citg.tudelft.nl/over-faculteit/afdelingen/transport-en-planning/congressen/ped2014/ Dates and Deadlines (preliminary) November 2013 Call for papers 28 March 2014 Submission of abstract June, 2014 Letter of acceptance 11 October 2014 (not sure yet) End of Registration 29 – 31 October 2014 PED 2014 Conference Call for papers The Pedestrian and Evacuation Dynamics (PED) conference is calling for papers that address both pedestrian and evacuation dynamics and associated human behaviour to provide answers for policy makers, designers, and operational management to solve real world problems. During PED 2014 both theory and application of knowledge concerning human movement behaviour will be discussed extensively. As such PED 2014 would like to offer scientists as well as practitioners the opportunity to highlight their findings, strategies, etc. This PED conference will pay special attention to: Crowd dynamics: Insights into the movement of pedestrians during high density situations in confined spaces. Operational management of highly populated facilities: Practical insights into the management and operation of facilities which function fairly often at capacity. Behavioural aspects of pedestrian motion: Understanding human decision making and human behaviour in both emergency and non-emergency scenarios. Calibration and validation of pedestrian simulation models: Development of methods to calibrate and validate pedestrian and evacuation (simulation) models Additional topics will include, but are not limited to: Data collection techniques Pedestrian behaviour during disasters: theories, analysis, conclusions Evacuation and pedestrian data collection from experiments and real events Large-scale modelling Model development and modelling methods Public transport transfer terminals Regional evacuation This is a call for papers presenting research on the listed topics or related areas. Posters and papers will NOT be considered separately. All abstracts should be no longer than one page A4.A abstract template is provide at the conference website. Please submit abstracts for papers online via www.ped2014.nl. Submission details can be found at the conference website. The conference proceedings will include both the full paper as well as written submissions from accepted posters. Deadline of submission: March 28, 2014 If a submission gets accepted (notifications are going to be sent in June 2014) the final paper is due by October 2014 .
分会场D 论文宣读 ——首届可拓学与创新方法 国际研讨会 暨 可拓学创立卅周年庆典 照片 (19) 8 月 18 日 上午分会场 D 地点:西郊宾馆 1 号楼 2 层第 3 会议室 时间 主席 作者 题目 8:30—8:50 陈 爱 玲 教 授 , 王 涛 博 士 杨 贤等 基于互动设计理论的“需求 - 功能”转移模式研究 8:50—9:10 付冠男等 基于可拓学的城市防灾减灾计划的相反问题分析 9:10—9:30 薛名辉等 再现设计——空间问题的可拓建筑设计分析 9:30—9:50 杨国为等 运用可拓理论创新 TRIZ 核心工具,构建新的鞋类产品概念设计矛盾冲突解决矩阵 9:50—10:10 杨鹏海等 运用可拓学解决垂直耕作机的深度装置 10:10—10:30 茶 歇 10:30—10:50 杨 国 为 教 授 , 杨 伟 国 博 士 A. Olaru 运用可拓理论解决“精确——稳定”这一矛盾 10:50—11:10 连 菲等 基于可拓大数据挖掘的智能可拓建筑策划研究 11:10—11:30 肖会敏等 基于物元创造性模仿的创新研究 11:30—11:50 王科奇等 论基于可拓学的建筑设计创新的基本过程 11:50—12:10 王 涛等 基于空间元素的建筑变量的设计研究 Special Session B 18 Aug (A.M.) No. 3 Meeting Room, 2 nd Floor,No.1 Building Time Author(s) Title 8:30—8:50 X. Yang, H.W. He, D.T. Zheng, C.L. Tang, Y.S. Feng , Z.X. Chen Research on “ Requirement- Function ” Conversion Model Based-on Interaction Design Theory 8:50—8:50 G.N. Fu, G.T. Zou,L.J. Ding The Opposite Problem Analysis For City Disaster Prevention And Reduction Plan Based On Extenics 9:10—9:30 M.H. Xue, G.T. Zou Design “Reappearance”- the Extension Architectural Design Analysis About Spatial Issues 9:30—9:50 G.W. Yang, M. Chen, C.J. Zhang Innovate the Core Tools of TRIZ and Construct New Contradiction Solution Matrix for Footwear Conceptual Design by Extension Theories 9:50—10:10 P.H. Yang, F. Jiang, C.Y. Huang, J.M. Feng Use Extenics to Solve the Problem of the Deep Device of Vertical Cultivators 10:10—10:30 Tea Break 10:30—10:50 A. Olaru Solving of the Contradictory Problem of the Precision- stability by Using the Extension Theory 10:50—11:10 F. Lian, G.T. Zou Primary Research on Intelligent Extension Architectural Programming Based on Extension Big Data Mining 11:10—11:30 H.M. Xiao, C.S. Cui Research on the Creative Imitation Innovation Based on Basic Element 11:30—11:50 K.Q. Wang, G.T. Zou Discussion on the Basic Process of Architectural Design Innovation Based on Extenics 11:50—12:10 T. Wang, J. Yao Research on the Architectural Variable Design Based on the Space Element
分会场C 论文宣读 ——首届可拓学与创新方法 国际研讨会 暨 可拓学创立卅周年庆典 照片 (18) 8 月 18 日 上午分会场 C 地点:西郊宾馆 1 号楼 2 层第 6 会议室 时间 主席 作者 题目 8:30-8:50 田 英 杰 研 究 员 , 李 桥 兴 副 教 授 Victor Vladareanu 可拓学在机器人中的应用(演示) 8:50-9:10 A.R. Upadhyay, R. Limaye, R.K. Choudhary, R.A. Khan 阐释人类行为的可拓框架 9:10-9:30 丁怡等 基于可拓学的个性化推荐算法 9:30-9:50 刘 婵等 多小波领域中基于可拓评价和 SVR 的水印技术 9:50-10:10 陈智斌 可拓逻辑的语义学研究 10:10-10:30 茶 歇 10:30-10:50 肖 会 敏 教 授 , 王 体 春 副 教 授 李小妹 客户价值可拓知识挖掘软件研究(演示) 10:50-11:10 张 艳等 高维语义可拓距离 11:10-11:30 李志明等 事元及其应用的研究进展 11:30-11:50 董 君等 可拓学技术在 SN 方法中的应用 11:50-12:10 高 峰等 可拓思维模式和区域挖掘规划 Special Session C 18 Aug (A.M.) No. 6 Meeting Room, 2 nd Floor,No.1 Building Time Author(s) Title 8:30—8:50 Victor Vladareanu Application of Extenics in Robot (Demonstrate) 8:50—8:50 A.R. Upadhyay, R. Limaye, R.K. Choudhary, R.A. Khan Extension Framework to Interpret Human Behavior 9:10—9:30 Y. Ding, H. Gao, W. Liu A Personalized Recommendation Algorithm Based on Extenics 9:30—9:50 C. Liu, Y.H. Shao, W. Chen Watermark Techniques Based on Extension Evaluation and SVR in Multi-wavelet Domain 9:50—10:10 Z.B. Chen Study on Semantics of Extension Logic 10:10—10:30 Tea Break 10:30—10:50 X.M.Li Report on Customer Value Extension Knowledge Mining Software(Demonstrate) 10:50—11:10 Y. Zhang, J. Wang , Y. Zhao Semantic Extension Distance in High Dimension 11:10—11:30 Z.M. Li, C.Y. Yang , J.J. Jiang Research Progress in Affair-Element and Its Application 11:30—11:50 J. Dong, G.T. Zou , Z. Zhang Application of Extenics Technology in SN Method 11:50—12:10 F. Gao, K.P. Zhou , H.W. Deng Planning of Regional Mine and Extension Thinking Modes
分会场B 论文宣读 ——首届可拓学与创新方法 国际研讨会 暨 可拓学创立卅周年庆典 照片 (17) 8 月 17 日 下午分会场 B 地点:西郊宾馆 1 号楼 2 层第 3 会议室 时间 主席 作者 题目 14:00-14:20 余 永 权 教 授 , 王 宏 伟 博 士 V. ladareanu, O.I. Şandru , P. Şchiopu, A. Şandru , L. Vladareanu 机电系统可拓混合力位的控制 14:20-14:40 N. Pop, L. Vadareanu , Z.M. Li 恢复机器人动态平衡的实时控制的可拓理论 14:40-15:00 李毓洲等 一个基于可拓工程的获取理想车身基本形状的变截面方法 15:00-15:20 汪明慧等 对非线性欠驱动自主船的自适应切换控制的研究 15:20-15:40 成思源等 基于 VE\TRIZ 机械产品的创新设计 15:40-16:00 茶 歇 16:00-16:20 潘 旭 伟 教 授 , 陈 建 副 教 授 秦建军 产品使用过程的可拓设计模型 16:20-16:40 张晓伟等 基于可拓创新方法的楼梯爬推车的设计 16:40-17:00 洪欢欢等 基于传导效应的区域水资源承载能力的协调性研究 17:00-17:20 赵燕伟等 基于案例推理可拓性配置设计的相似性分析 17:20-17:40 唐文艳等 基于可拓理论机械运动方案的选择和评价 Special Session B 17 Aug (P.M.) No.3 Meeting Room, 2 nd Floor,No.1 Building Time Author(s) Title 14:00-14:20 V. ladareanu, O.I. Şandru, P. Şchiopu, A. Şandru , L. Vladareanu Extension Hybrid Force-position Control of Mechatronics Systems 14:20-14:40 N. Pop, L. Vadareanu, Z.M. Li Extension Theory Applied to Real Time Control for Restoring Robot Dynamical Equilibrium 14:40-15:00 Y.Z. Li,X.M. Tan A Variable Cross-section Method to Obtain Ideal Basic Shape for Car Body Based on Extension Engineering 15:00-15:20 M.H. Wang, Y.Q.Yu, W.Lin Study on adaptive switching control for autonomous surface vehicle 15:20-15:40 S.Y.Cheng, X.P.Wang, J.P. Zhou, T. Li, S.Y. Li Innovative Design of Mechanical Products Based on VE/TRIZ 15:40-16:00 Tea Break 16:00-16:20 J.J. Qin An Extension Design Model of product Using Process 16:20-16:40 X.W. Zhang, S.Y. Li, W.Y. Tang, S.Y. Cheng, C.Y. Yang Design of the Stair-climbing Stroller Based on the Extension Innovative Approach 16:40-17:00 H.H. Hong, G.C. Jiang, Y.W. Zhao, W.G. Chen, L. He, H.W. Wang Coordination for Regional Water Resource Carrying Capacities Based on Conduction Effect 17:00-17:20 Y.W. Zhao, H. Wang, W.G. Chen, H.H. Hong Configuration Design Similarity Analysis Based on Extension of Case Reasoning 17:20-17:40 W.Y. Tang, S.Y. Li, X.W. Zhang, C.Y. Yang Selection and Evaluation of Mechanical Motion Scheme Based on Extension Theory
分会场A 论文宣读 ——首届可拓学与创新方法 国际研讨会 暨 可拓学创立卅周年庆典 照片 (16) 8 月17 日下午分会场A 论文宣读程序 地点:西郊宾馆 1 号楼2 层第6 会议室 14:00-14:20 主席:陈巨龙教授,朱志昌博士 O.I. Şandru, L. Vladareanu,P. Şchiopu, V. Vladareanu, A.Şandru 可拓理论的新进展 14:20-14:40 张玲玲等 基于决策树和可拓理论的智能知识发现 14:40-15:00 李兴森等 运用可拓学构建统一的下一代因特网的内容标签 15:00-15:20 潘旭伟等 可拓知识体系 15:20-15:40 陈文伟等 形式化和数字化的创新方法 15:40-16:00 茶 歇 16:00-16:20 主席:邓群钊教授,张玲玲副教授 李卫华 可拓策略——自助游软件的开发(演示) 16:20-16:40 马辉等 面向室内设计可的拓数据分类挖掘 16:40-17:00 梁小蕾等 基于可拓知识构建客户价值本体论的研究 17:00-17:20 赵锐等 矛盾信息的挖掘模型 17:20-17:40 陈爱玲等 论信息时代提高发散思维能力的系统研究法 Special Sessions Special Session A 17 Aug (P.M.) No. 6 Meeting Room, 2 nd Floor,No.1 Building Time Author(s) Title 14:00-14:20 O.I. Şandru, L. Vladareanu, P. Şchiopu, V. Vladareanu, A. Şandru New Progress in Extension Theory 14:20-14:40 L.L. Zhang, J. Li, R. Ren, Y. B. Chen, Y. Shi Intelligent Knowledge Discovery Based on Decision Tree and Extension Theory 14:40-15:00 X.S. Li, Y. Shi, M. Yang, H.L. Zhang, C. Pang Build Uniform Content Label for the Next Generation Internet by Extenics 15:00-15:20 X.W. Pan, S.L. He, Y.L. Qi, H.L. Hu Extension Knowledge System 15:20-15:40 W.W. Chen, J.C. Huang, X. Zhao Evolutionary Innovations of Formalization and Digitalization 15:40-16:00 Tea Break 16:00-16:20 W.H.Li Extension strategy generating software of self-organized tour ( Demonstrate ) 16:20-16:40 H. Ma, G.T. Zou,W. Luo Extension Data Classification Mining Oriented to Interior Design 16:40-17:00 X.L. Liang, W.H. Li Research on Building Customer Value Ontology Based on Extension Knowledge 17:00-17:20 R. Zhao, Y.Q. Yu T. Zeng Mining Model of Contradiction Information 17:20-17:40 A.L. Chen, W. Liu X.S. Li On the Systematic Approach to Enhance the Quality of Divergent Thinking in an Information Age
基于可拓学的认知和计算问题 ——首届可拓学与创新方法 国际研讨会 暨 可拓学创立卅周年庆典 大会报告照片 (14) 报告人:印度 . Ramesh Kumar Choudhary 教授 Resolving Cognitive and Computational problem by Extenics Prof. Ramesh Kumar Choudhary And Prof.A.R . Upadhya • Cognititive and many Computational issues are contradictory • Lot of research are going to resolve it throughArtificial Intelligence and Fuzzy Logic • Extenics may resolve better . • Research are require to apply theory of Extension forresolving computational and cognitive issues IdentifiedScope of Research • Developing thedatabase system of incompatible and contradictory problem for Languageprocessing. • Generic Framework toimplement theory of extension for Language processing. • Automotive modelrelating to computing, cognitive and Extenics. • Exploringpossibilities of using extension engineering into software engineering. • Creating artificialintuition system through theory of extension . • Creating accurateneural network with help extension methodology. • Cognitive model tostudy human behavior through extension theory
可拓创新方法 ——首届可拓学国际会议照片(10) 报告人:中国杨春燕研究员 Prof. Yang Chunyan ExtensionEngineering Society, Chinese Association for Artificial Intelligence ResearchInstitute of Extenics and InnovationMethods, GuangdongUniversity of Technology KeyLaboratory of Innovation Method and Decision Management System of GuangdongProvince 报告内容: 可拓学简介 Brief Introduction to Extenics 可拓创新方法体系 The System of EIM 可拓创新方法的计算机实现 Computer Implementations of EIM 可拓创新方法应用概况 Applications of EIM 结论 Conclusions
地下水动力学与补给研究中同位素与水化学数据处理分析与解释国际学术会议(以下简称“地下水同位素国际研讨会”)于 2013 年 11 月 4 日至 8 日在北京召开。此次会议是受国际原子能机构委托,我所和院工程地质力学重点实验室具体承办的国际组织区域性会议。来自亚太地区 14 个国家的代表和我国代表 60 余人参加了会议。 大会开幕式由我所 庞忠和 研究员主持,国际原子能机构( IAEA )技术官员 M. Choudhry 博士 , 中国国家原子能机构( CAEA )项目官员刘汉思先生、我所所长助理 郭敬辉 研究员等先后致词。 本次会议有 26 位代表作了大会报告,重点围绕地下水补给区与补给量的确定,地下水运动的动力学等主题。涉及大气降水同位素背景值,地表水径流分割,地下水地表水相互作用等相关内容。我所庞忠和研究员、 黄天明 副研究员、孔彦龙博士后、李捷博士生分别在报告中重点介绍了中国同位素文学及其在地下水补给与循环研究中的应用方面取得的新进展,重点评述了水汽判源与降水过程刻画、地表地下水相互作用、地下水入渗补给、古气候古水文等方面的应用,具体介绍了该课题组近年来在地下水同位素研究中取得的新成果。与会代表认为地下水补给与循环的研究对于地下水资源的可持续开发利用与保护具有重要意义。环境同位素在确定地下水起源、补给、运动、混合、定年等方面具有突出的优越性。一方面广泛地用于研究多种水文地质过程机理,另一方面,越来越多地用于标定地下水水流与溶质运移数值模型,为地下水资源管理提供支撑。希望今后加强相互交流合作。 会议期间,亚太地区项目协调组召开了专门会议,重点交流与评估了在国际原子能机构水资源合作项目( RAS7022 )框架下各国取得的进展。包括中国在内的 14 个国家分别提交了国家报告,在评估进展的基础上制定了后两年的工作计划。国外代表们还考察了北京市地下水监测网回龙观代表性观测井等设施,以及建设中的通州区张家湾的地下水科学试验基地,包括北京市地面沉降观测场、地下水一井多层监测点、水盐运移试验场和三维弥散试验场等,增进了对北京市地下水工作的了解。 会 议 日 程 时间 地点:北京外国专家大厦二楼多功能厅 9:00-9:20 开幕式(主持人:庞忠和) 9:20-9:40 全体参会人员合影 11 月 4 日上午 主持人:庞忠和、 Manzoor Choudhry 9:40-10:05 Manzoor Choudhry 国际原子能机构 Water Resources Program at the IAEA 10:05-10:30 庞忠和 中科院 地质与地球所 Groundwater Studies Using Isotopes and Other Environmental Tracers in China during 1992-2012: A Review 10:30-10:55 文冬光 中国地质调查局 Applications of isotope technologies in hydrogeological survey of main basins in China ( 特邀报告 ) 10:55-11:15 茶 歇 11:15-11:40 Suzanne Hollins Australian Nuclear Science and Technology Organisation Radiocarbon dating and the challenges in revealing the “age” of groundwater-an example from an evaporation-dominated system in arid Australia 11:40-12:05 陈宗宇 中国地科院 水环所 Sustainability of intensively exploited aquifer systems in the North China Plain-Insights from environmental tracers ( 特邀报告 ) 12:05-13:30 午 餐 11 月 4 日下午 主持人:陈宗宇、 Suzanne Hollins 13:30-13:55 Uwe Morgenstern GNS Science - New Zealand Time lag of the water in the Lake Rotorua catchment and delayed arrival of contaminants from past land use activities 13:55-14:20 马金珠 兰州大学 Groundwater availability and renewal in the Northwest China ( 特邀报告 ) 14:20-14:45 Janchivdorj Lunten Mongolian Academy of Sciences Ground Water Resources, Gobi Desert in Mongolia and some results of isotope application for study 14:45-15:10 Wan Zakaria Tahir Malaysian Nuclear Agency Assessment of Groundwater Recharge Using Environmental Isotope and In-direct Conventional Approached Techniques in the North Kelantan River Basin, Malaysia 15:10-15:30 茶 歇 11 月 4 日下午 主持人: M. Azam Tasneem 、 Viraj Edirisinghe 15:30-15:55 苏小四 吉林大学 Combined C and S isotope analysis of petroleum hydrocarbon biodegradation in a shallow contaminated aquifer ( 特邀报告 ) 15:55-16:20 Somashekar Rayasamudra Kalegowda Bangalore University (INDIA) Assessment of Source and origin of 222 Rn in the groundwater of south Karnataka using isotope Hydro-chemical technique 16:20-16:45 马致远 长安大学 The evolution and indicating significance of strontium isotope in the geothermal water of basin type 16:45-17:10 蒋勇军 西南大学 Use of environmental isotopic (Sr, S and C) to trace human impacts on karst groundwater contamination from Nanshan underground river system, SW China 18:00 晚 宴 11 月 5 日上午 主持人: 马金珠 Somashekar Rayasamudra Kalegowda 8:30-8:55 Viraj Edirisinghe Atomic Energy Authority (SRI LANKA) Groundwater recharge study - Jaffna Peninsula - Sri Lanka: An assessment using stable isotope technique 8:55-9:20 黄天明 中科院 地质与地球所 Soil profile evolution following land-use change: Implications for groundwater quantity and quality 9:20-9:45 Paston Sidauruk National Nuclear Energy Agency (INDONESIA) Subsurface flow potential study in gunung kidul karst area using isotopes technique 9:45-10:10 Nasir Ahmed Bangladesh Atomic Energy Commision Using Isotope Techniques to Investigate Groundwater Dynamics and Recharge Condition for Sustainable Groundwater Resource Management in Surma basin, Sylhet, North Eastern of Bangladesh 10:10-10:30 茶 歇 11 月 5 日上午 主持人:叶淑君、 Uwe Morgenstern 10:30-10:55 M. Azam Tasneem Pakistan Atomic Energy Commission Applying isotopes to investigate groundwater dynamics and recharge rate: case studies from Pakistan 10:55-11:20 李捷 中科院 地质与地球所 Dynamics and recharge rates of groundwater in the East Junggar Basin, NW China based on 14 C and stable isotope measurements 11:20-11:45 Soledad Castaneda Philippine Nuclear Research Institute Application of isotope Techniques in Verifying Groundwater Recharge Processes in Bulacan Province, Philippines 11:45-12:05 Ji-Hun Ryu Korea Atomic Energy Research Institute A study of isotopes (δD and δ 18 O) in precipitation and groundwater in the KURT site to investigate groundwater recharge and flow 12:05-13:30 午 餐 11 月 5 日下午 主持人:苏小四、 Soledad Castaneda 13:30-13:55 Kiattipong Kamdee Thailand Institute of Nuclear Technology Application of isotope techniques in groundwater exploration and potential evaluation of Carbonate Aquifers in Saraburi and Lob Buri Provinces, Thailand 13:55-14:20 叶淑君 南京大学 A modified global model for predicting the tritium distribution in precipitation, 1960–2005 ( 特邀报告 ) 14:20-14:45 孔彦龙 中科院 地质与地球所 Using deuterium excess to quantify recycled moisture fraction in precipitation of an arid region 14:45-15:10 张光辉 北京普瑞亿科科技有限公司 The Novel Application of Laser Spectroscopy Technique for Stable Isotope Measurement 15:10-15:35 朱湘宁 北京理加联合科技有限公司 Utilizing cavity ring-down spectroscopy for high-precision analysis of the triple oxygen isotopic composition of water and water vapor 15:35-15:55 茶 歇 15:55-16:40 主题讨论 ( Panel Discussion )(主持人:庞忠和) 16:40-17:00 闭幕式 Topics of the Panel Discussion How are isotope techniques applied in groundwater recharge studies in the AP region? How are they suitable for different geographical and geological settings? How are they useful for different investigation purposes? What contributions can isotope techniques make to the study of groundwater dynamics ? How are they applied in groundwater dynamics studies in the AP region? What needs to be done in order to improve isotope data interpretation and use?
日本 Takeshi Yamakawa教授 在首届可拓学国际会议 暨可拓学创立卅周年庆 典 致 词 Topics of Opening Address Prof. Takeshi Yamakawa The first time Imet Prof. Cai Wen in Guangzhou was in November, 1985. And the second time tovisit him was in April, 1988, when I enjoyed my trip with my family, my wifeand two sons. I learned fromthose two visits to Guangzhou that there is Matter Element Analysis which cansolve the problems very difficult to solve. Western Science isbased on reductionism, that is, every nonlinear problem is divided into severallinear problems which are easy to analyze. However, the total system analysisis impossible, because this kind of nonlinear system exempts from the principleof superposition. One way to solve this kind of problem possibly includes fuzzylogic. Here is a problemwhich is so difficult, if not impossible, to solve with a mathematical model.This is “mouse stabilization”, which is an extension of an inverted pendulum.The control targets of this problem are to position and to stabilize the polewith a small plate on which a mouse is moving round. It is impossible to take amathematical approach to this problem, because the behavior of the moving mousecannot be described with mathematics. Accordingly we can easily meet the deadlock.Even in this case, the fuzzy logic control is effective as shown in this movie.In this situation, the action to stabilize the pole is moving the vehicle tothe left and that to position the vehicle to the set point is moving it to theright. These two actions of control strategy are contradictory to each other.However the fuzzy logic control concludes that the vehicle should be moved tothe left to stabilize the pole at first and sequentially to the right toposition it to the set point. In the similarmanner, this “mouse stabilization problem” may be expected to be solved by theExtenics as well. I am very happy to be here with you to discuss about thepotential applications of Extenics with you. Thank you verymuch.
1 Sponsors China University of Petroleum (Beijing) Editorial Committee of Journal of Palaeogeography Lithofacies Palaeogeography Committee of the Chinese Society for Miner a logy, Petrology and Geochemistry Petroleum Geology Committee of the Chinese Society for Petroleum China University of Petroleum (East China) China University of Min ing and Technology (Beijing) China University of Geosciences (Beijing) China University of Geosciences (Wuhan) Yangtze University China National Petroleum Corporation China Petroleum and Chemical Corporation China National Offshore Oil Corporation Shengli Oilfield Company, China Petroleum and Chemical Corporation 2 Host China University of Petroleum (Beijing) 3 Organization committee Chairman : Pang Xiongqi, China University of Petroleum (Beijing) General Secretary : Liu Luofu, China University of Petroleum (Beijing) Vice General Secretary : Niu Huapeng, China University of Petroleum (Beijing) 4 Academic committee A. J. (Tom) van Loon, Adam D. Woods, Bao Zhidong, Du Yuansheng, Fan Daidu, Fang Xiuqi, Feng Zengzhao, Franz T. Fürsich, Ge r hard H. Bachmann, He Bizhu, He Youbin, Hu Bin, Ian D. Somerville, Jin Zhenkui, Kuang Hongwei, Li Guo, Lin Chunming, Liu Benpei, Liu Jianbo, Liu Chunlian, Liu Yongqing, Markus Aretz, Mei Mingxiang, Peng Yongmin, Poppe L. de Boer, Santanu Banerjee, Shao Longyi, Shi Xiaoying, Steve Kershaw, Su Dechen, Subir Sarkar, Tadeusz Peryt, Wan Yang, Wu Genyao, Wu Yasheng, Zheng Herong, Zhong-Qiang Chen Chairman: Feng Zengzhao ( jpalaeo2012@163.com ), C hina University of Petroleum (Beijing) General Secretary: Bao Zhidong (baozhd@cup.edu.cn), China University of Petroleum (Beijing) Zhong-Qiang Chen ( zhong.qiang.chen@cug.edu.cn ) , China University of Geosciences (Wuhan) Vice General Secretary: Wang Yuan ( jpalaeo2012@163.com ), China University of Petroleum (Beijing) Academic reviewing committee of Awards for the best presentations by young scientists/students Chairman : Ian D. Somerville Members : A. J. (Tom) van Loon, Franz T. F ü rsich, L iu Chunlian, Liu Jianbo Secretary : Wang Yuan Session Chairmen : Adam D. Woods, California State University, USA A. J. (Tom) van Loon, Geological Institute Adam Mickiewicz University in Poland Bao Zhidong, China University of Petroleum (Beijing) Du Yuansheng, China University of Geosciences ( Wuhan ) Fan Daidu, Tongji University Fang Xiuqi, Beijing Normal University Franz T. Fürsich, Universitt Erlangen-Nürnberg , Germany Gerhard H. Bachmann, University of Halle , Germany Hu Bin, Henan Polytechn ic University Ian D. Somerville, University College Dublin, Ireland Li Guo, Cambridge University , UK Liu Luofu, China University of Petroleum (Beijing) Liu Chunlian, SunYat-Sen University Liu Jianbo, Peking University Jin Zhenkui, China University of Petroleum (Beijing) Santanu Banerjee, IIT Bombay, India Shao Longyi, China University of Mining and Technology (Beijing) Steve Kershaw, Brunel University, UK Su Dechen, Chinese Academy of Geo logical S ciences Subir Sarkar, Jadavpur University, India Tadeusz Peryt, Polish Geological Institute , Poland Wan Yang, Missouri University of Science and Technology , USA Wu Yasheng, Chinese Academy of Sciences Zhong-Qiang Chen, China University of Geosciences (Wuhan) Mid-conference field excursion leaders : Jin Zhenkui, China University of Petroleum (Beijing) Su Dechen , Chinese Academy of Geological Sciences Huang Wenhui, China University of Geosciences (Beijing) Post-conference field excursion leaders: Zhu Shixing, Tianjin Institute of Geology and Mineral Resources, CGS Chen Shiyue, China University of Petroleum (East China) 5 S cientific program and venues 5.1 Reception, registration and on-site r egistration fees The registration desk will be in the lobby of the Jundu Holiday Village, Changping, Beijing from 8:00 am to 20:00 pm on September 13 . Full payment must accompany the on-site registration as : F ull registration rate: 1500 yuan or 245 US$, student rate: 1000 yuan or 163 US$, accompanying member rate: 100 US$. Post-conference field excursion fees are respectively 490 US$ and 572 US$. 5.2 A ccommodation All attendees will be arranged at the Jundu Holiday Village, Changping, Beijing. The Jundu Holiday Village ( three-star) is located at No. 12 of the Ming Dynasty Tombs Reservoir Road, 49 US$ per night for a standard double-bed room with standard facilities and 130 US$ per night for a suite room. 5.3 Welcome reception A welcome reception starts at 18 : 0 0 pm of September 14 of 201 3 at the Restaurant of the Jundu Holiday Village . 5.4 T imetable and location for meals Location: Restaurant of the Jundu Holiday Village Buffet b reakfast: 7:00- 8 :00 am Buffet lunch: 12:00-13:30 pm Buffet d inner: 18:00-2 0 :00 pm Note: please show your coupons when you get into the restaurant. 5 . 5 O ral presentations 3 5 + 5 minutes for each keynote speech , 30 + 5 minutes will be allowed for each oral presentation and 20+5 minutes will be allowed for each speaker applying for the awards for the best presentation s by young scientist s /student s . The official language of the Conference is English. Please upload your PPS presentation from USB/memory stick on the registration day (September 13) . Please contact Conference Secretariat if you need help with your uploading (Li Xinpo, cell number: 13621185350). 5 . 6 Poster Poster boa r ds are avail able in the main session hall of Jundu Holiday Village on 14 th and 15 th of September . You can display your posters anytime on 14 th and 1 5 th of September . No specific poster session is scheduled. All delegates are encouraged to talk to the authors during the Conference if you are interested in their posters. Each poster boa r d is about 120 cm high and 90 cm wide. You can ask Conference Secretariat for any help. 5 . 7 Mid-conference f ield excursions The mid-conference field excursion s are respectively to investigate 1) Large earthquake-triggered l iquefaction mounds , a carbonate sand volcano and other SSDS in the Mesoproterozoic Wumishan Formation, Beijing , North China ( September 16 , 2013; Leaders: Su Dechen , Ian D. Somerville ); 2) The Jurassic lacustrine clastic sequence and depositional system of Fossil Wood National Park in Beijing (September 16, 2013; Leaders: Huang Wenhui) ; 3) The Cambrian and Ordovician c arbonate d eposition in Xiaweidian, West Beijing (September 16, 2013; Leader: Jin Zhenkui). 5.8 Post-conference field excursions The post- conference field excursion s are respectively to investigate: 1) T he coastal sediments of Qingdao and dinosaur culture of the Zhucheng area , Shandong Province, China ( September 17– 2 1 , 2013; Leader: Chen Shiyue ) ; 2) T he Mesoproterozoic of the Jixian section, Tianjin, China (September 17– 20, 2013 ; Leader: Zhu Shixing ) . Session halls and program overview Friday , September 1 3 Saturday, September 1 4 Sunday, September 1 5 Monday, September 1 6 Tuesday, September 1 7 September 18–21 Morning Registration Editor-in- Chief meeting Opening ceremony, Plenary session P lenary session Mid-conference field excursions Plenary session Post- conference field excursions Afternoon Registration Plenary session Posters Plenary session Posters Mid-conference field excursions Plenary session Closing ceremony Evening Preparatory meeting Meeting of Academic Reviewing Committee of Awards for the best presentations by young scientists/students Meeting of Editorial Committee of Journal of Palaeogeography and Academic Committee Jundu Holiday Village M orning tea, afternoon tea, buffet breakfast, buffet lunch, buffet dinner 6 Program The abstract proceedings and field excursion guides are printed separately. The Conference program is scheduled as below. DAY 1 (Saturday , September 14) Saturday morning, September 14 Main session hall, Jundu Holiday Village Chaired by Liu Luofu 8:00-9: 2 0 Opening ceremony welcome addresses 1. Welcome speech by leaders from the China University of Petroleum (Beijing) 2. Speech by Prof. Feng Zengzhao 3. Speech by Chairman of the International Association of Sedimentology , Prof. Poppe L. de Boer 4. Speech by Associate-Editor-in-Chief of Journal of Palaeogeography , Associate Prof. Adam D. Woods 5. Group photo of all attendees Plenary session ( 9:20-12:00 ) Chaired by Ian D. Somerville and Jin Zhenkui 1. Quantitative lithofacies palaeogeography Feng Zengzhao (9: 2 0- 9 : 5 0) 2. Orbital (Milankovitch) cycles in a palaeogeographic perspective Poppe L. de Boer ( 9 : 5 0-10: 4 0) 10: 4 0-1 0 : 50 Coffee break 3 . Milankovitch-driven cycles in the Precambrian of C hina: The Wumishan Formation Mei Mingxiang (1 0 : 50 -11: 25 ) 4. Case study of ocean acidification and end-Permian mass extinction Steve Kershaw ( 11: 25 -1 2 : 00 ) Buffet lunch Saturday afternoon, September 14 Main session hall, Jundu Holiday Village P lenary s ession Chaired by Zhong–Qiang Chen and Fan Daidu 1 Hydrocarbon prospect and lithofacies palaeogeography of fine-grained sedimentary rocks from the Lower Cambrian and Lower Silurian in the Sichuan basin and its periphery, SW China Zh e ng Herong , Peng Yongmin, Long Shengxiang , Hu Zongquan , Gao Bo (14:00-14:35) 2 Depositional characteristics and models of modern braided river delta in Daihai Lake, Inner Mongolia Jin Zhenkui, Shi Liang, Li Guizai, Wang Zhe, Gao Baishui, Zhao Dongfeng (14:35-15:10) 15:10-15:2 5 Coffee break P lenary s ession Chaired by Adam D. Woods and Su Dechen 3 Palaeoenvironmental and biostratigraphic implications of microbial mat-related structures: examples from the modern Gulf of Cambay and Precambrian Vindhyan basin, India Santanu Banerjee , Subir Sarkar, P. G. Eriksson (15:2 5 -1 6 : 00 ) 4 Peritidal carbonate cycles induced by carbonate productivity variations — A concept model for E arly - T riassic greenhouse platform of G reat B ank of G uizhou, S outh C hina Wan Yang, Dan J. Lehrmann (1 6 : 00 -16:3 5 ) 5 Diversity patterns and palaeobiogeographical relationships of latest Devonian – Lower Carboniferous foraminifers from South China: What is global, what is local? Markus Aretz , Elise Nardin, Daniel Vachard (16:3 5 -17: 10 ) 6 Four c hapters in h istory of the m odern p hysical e nvironment f ormation of China Fang Xiuqi , Zhang Lansheng ( 17:10-17:45 ) 7 Development t rend and f ate of tidal flats along s outh f lank of the Yangtze (Changjiang) Delta in r esponse to n atural and h uman f orces Fan Daidu, Zhang Yue, Wu Yijing, Huo Miao, Li Jing ( 17:45-18:20 ) DAY 2 (Sunday, September 15) Sunday morning, September 15 Multi-function hall, Jundu Holiday Village P lenary s ession Chaired by Steve Kershaw and Hu Bin 1 Taphonomy of Early Triassic f ish f ossils of the Vega-Phroso Siltstone Member of the Sulphur Mountain Formation near Wapiti Lake, British Columbia Canada Karen Anderson, Adam D. Woods ( 8:00-8:35) 2 The Mesozoic b asins and s edimentary and p alaeogeography of North China Liu Yongqing, Kuang Hongwei, Peng Nan, Xu Huan ( 8:35-9:10) 3 Jurassic sedimentation patterns and their control in central Asian basins Franz T. Fürsich , Hermann Munsch (9:10-9:45) 9:45- 10 : 00 Coffee break P lenary s ession Chaired by Subir Sarkar and Du Yuansheng 4 Palaeoseismic records and their constraint s on dinosaur fossil burial of the Cretaceous in Zhucheng D epression, Shandong P rovince, East China He Bizhu, Qiao Xiufu, Tian Hongshui ( 10 : 00 -10:3 5 ) 5 Late Paleozoic sequence stratigraphy and palaeogeographical evolutions of the Tarim Basin, NW China Zhong-Qiang Chen ( 10:35-11:10 ) 6 Palaeogeography and facies of the continental Permian-Triassic boundary interval, Central Germany Gerhard H. Bachmann , Heinz W. Kozur, Michael Szurlies (11: 10 -11: 45 ) Buffet lunch Sunday afternoon, September 15 Multi-function hall, Jundu Holiday Village P lenary s ession Chaired by A. J. (Tom) van Loon and Liu Jianbo (Presentations with asterisk represent those applying for wards for the best presentation s by young scientist s /student s ) 1 * Palaeogeography, palaeocurrent and palaeoclimate for the Mio-Pliocene Siwalik Group, North Bengal, India Sunipa Mandal , Subir Sarkar, Pradip K. Bose (14:00-14:25) 2 * Sealing mechanism for cap beds of shallow-biogenic gas reservoirs in the Qiantang River incised valley, China Zhang Xia, Lin Chunming, Li Yanli, Qu Changwei, Wang Shujun ( 14:25-14:50) 3 Biogenic s edimentary s tructures and t heir d istribution c haracteristics in the Middle-Lower Yellow River of China Hu Bin, Wang Yuanyuan, Song Huibo, Wang Hailin (14:50-15:15) 4 * Geofacies controlling hydrocarbon accumulation and its critical conditions C hen Junqing, P ang Xiongqi, Chen Dongxia , P ang Hong (15:15-15:40) 5 Environmental implications of Foraminifera in surface sediments of the Pearl River Estuary, southern China and their application in reconstructing Late Quaternary palaeoenvironments Wu Jie , Liu Chunlian , Franz T. F ü rsich, Yang Tingting, Yin Jian (15:40-16:05) 16:05-16:1 5 Coffee break P lenary s ession Chaired by Franz T. Fürsich and Liu Chunlian 6 * Callovian–Oxfordian oppelids from western India, their biostratigraphic and palaeobiogeographic implications Pinaki Roy ( 16:1 5 -16:4 0 ) 7 * Geochemistry of the Late Paleozoic cherts in the Youjiang Basin, China: Implications for the basin evolution Huang Hu , Du Yuansheng, Yang Jianghai, Huang Hongwei, Tao Ping, Huang Zhiqiang, Yu Wenchao (16:40-17:05) 8 * The phototropism of Jurassic petrified wood in North China and its palaeogeographic significance Jiang Zikun , Liu Benpei, Deng Shenghui, Liu Lu, Li Mingming, Dong Shuxin, Lu Yuanzheng (17:05-17:30) 9 * Sequence s tratigraphy and p al a eogeography of the Middle Jurassic Coal Measures in Yuqia Coalfield, Qaidam Basin, n orthwestern China Li Meng, Shao Longyi, Lu Jing, Wen Huaijun, Li Yonghong (17:30-17:55) 10 * Type , origin and hydrocarbon potential of the Lower Paleozoic dolostones in Tarim Basin , NW China Zhang Demin, Bao Zhidong, Pan Wenqing (17:5 5 -1 8 :2 0 ) 11 * Jurassic sedimentary evolution of southern Junggar Basin— I mplication for pal a eoclimate changes in northern Xinjiang Li Shunli, Yu Xinghe, Tan Chengpeng, Ronald Steel (18:20-18:45) 12 Lithofacies p alaeogeography of the Upper Permian Wujiaping Stage in the Middle and Upper Yangtze Region, China Luo Jinxiong, He Youbin ( 18:45-19:10 ) 20:00-21:00 Meeting of Academic Reviewing Committee DAY 3 (Mon day, September 16) Mid-conference field excursions 20 :00-2 1 :30 Editorial Committee Meeting and Academic Committee Meeting DAY 4 (Tuesday, September 17) Tuesday morning, September 17 Main session hall, Jundu Holiday Village P lenary s ession Chaired by Gerhard H. Bachmann and Wu Yasheng 1 Siliciclastic- c arbonate m ixing m odes in r iver- m outh b ar Palaeogeography of the Mid-Late Cretaceous Uttatur Group, Ariyalur, India Subir Sarkar, Nivedita Chakraborty, Anudeb Mandal (8:00-8:35) 2 A retrospective and perspective of coal sedimentology and sequence stratigraphy in China Shao Longyi, Wang Hao, Lu Jing, Li Meng, Li Yingjiao, Gao Caixia,Wang Juan, Zhang Pengfei ( 8 :3 5 -9: 1 0) 3 Restrictions to the application of diagnostic criteria for recognizing ancient seismites A. J. ( Tom ) van Loon, M. Moretti (9: 1 0-9:4 5 ) 9:45-10:00 Coffee break P lenary s ession Chaired by Wan Yang and Shao Longyi 4 Deformed stromatolites in marbles of the Mesoproterozoic Wumishan Formation as evidence for synsedimentary seismic activity Su Dechen, A. J. (Tom) van Loon (10:00-10:35) 5 Taphonomy of Late Cretaceous din o s a ur in Zhucheng, ea s tern Shandong, China Kuang Hongwei , Liu Yongqing, Dong Chao, Peng Nan, Chen Jun, Xu Huan (10:3 5 -11: 10 ) 6 Early O rdovician reefs in S outh C hina: T ypes and distribution Liu Jianbo, Ezaki Yoichi, Adachi Natsuko, Zhan Renbin (11:10-11:45) Buffet lunch Tuesday afternoon, September 17 Main session hall, Jundu Holiday Village Plenary session Chaired by Santanu Banerjee and Fang Xiuqi 1 Pal a eogeographical zonation of ancient gypsum facies: Middle Miocene Badenian of Carpathian Foredeep in Europe Tadeusz Peryt (14:00-14:35) 2 Microbial fabrics in Quaternary hot spring travertines, central Italy Li Guo ( 14:35-15:10) 3 Coevality of the sea-level fall and main mass extinction in the Permian-Triassic transition in Xiushui, Jiangxi, South China Wu Yasheng, Jiang Hongxia, Liao Taiping, Liu Lijing (15:10-15:45) 15:45-16:00 Coffee break Plenary session Chaired by Tadeusz Peryt and Li Guo 4 A new interdisciplinary subject in the research of volcanoes—Volcanic sedimentology Liu Yongshun, Sun Shanping, Bai Zhida, Wei Haiquan, Xu Debin, Nie Baofeng, Peng Nian (16:00-16:35) 5 S edimentation in continental high-frequency oscillatory lake under arid climate background: A case study for Lower Eocene of Dongying Depression, East China Wang Jian , Cao Yingchang ( 16:35-17:10) Closing ceremony 1 Awards for the best presentation s by young scientist s /student s 2 Speech by delegate of the Awards for the best presentation s by young scientist s /student s 3 Comments on the Conference by delegates 4 Speech by delegate of the host institution of the 2nd International Palaeogeography Conference 5 Summary report of the 1st International Palaeogeography Conference Contact us Secretariat and correspondence : Editorial Committee of Journal of Palaeogeography , China University of Petroleum (Beijing) Ms. Wang Yuan Email: jpalaeo2012@163.com Tel: +86-10-62394320 Fax: +86-10-62341089 1 发起单位 中国石油大学(北京) 《古地理学报》(英文版)编辑委员会 中国矿物岩石地球化学学会岩相古地理专业委员会 中国石油学会石油地质专业委员会 中国石油大学(华东) 中国矿业大学(北京) 中国地质大学(北京) 中国地质大学(武汉) 长江大学 中国石油天然气集团公司 中国石油化工集团公司 中国海洋石油总公司 中国石油化工股份有限公司胜利油田分公司 2 承办单位 中国石油大学(北京) 3 组织委员会 主 席 : 庞雄奇 , 中国石油大学(北京) 秘 书 长 : 刘洛夫 , 中国石油大学(北京) 副秘书长 : 牛花朋,中国石油大学(北京) 4 学术委员会 委 员: Adam D. Woods , A. J. (Tom) van Loon , 鲍志东 , 陈中强,杜远生 , 范代读,方修琦, 冯增昭, Franz T. Fürsich , Ge r hard H. Bachmann ,郭丽,何碧竹,何幼斌,胡斌 , Ian D. Somerville , 金振奎 , 旷红伟,林春明,刘本培,刘春莲,刘建波,柳永清, 梅冥相 , Markus Aretz ,彭勇民, Poppe L. de Boer ,邵龙义, Santanu Banerjee , 史晓颖 , Steve Kershaw , 苏德辰 , Subir Sarkar , Tadeusz Peryt , Wan Yang , 吴根耀,吴亚生,杨晚,郑和荣 主 席: 冯增昭( jpalaeo2012@163.com ), 中国石油大学(北京) 秘 书 长 : 鲍志东( baozhd@cup.edu.cn ) , 中国石油大学(北京) 陈中强( zhong.qiang.chen@cug.edu.cn ),中国地质大学(武汉) 副秘书长 : 王媛( jpalaeo2012@163.com ),中国石油大学(北京) 青年优秀论文评审委员会 主 席 : Ian D. Somerville 成 员 : A. J. (Tom) van Loon , Franz T. F ü rsich ,刘春莲,刘建波 秘 书 :王媛 会议主持人 : Adam D. Woods , California State University , USA A. J. (Tom) van Loon, Geological Institute Adam Mickiewicz University in Poland 刘洛夫,中国石油大学(北京) 陈中强,中国地质大学(武汉) 杜远生,中国地质大学(武汉) 范代读,同济大学 方修琦,北京师范大学 Franz T. Fürsich, Universitt Erlangen-Nürnberg , Germany Gerhard H. Bachmann, University of Halle , Germany 胡斌,河南理工大学 Ian D. Somerville, University College Dublin, Ireland 金振奎,中国石油大学(北京) Li Guo , Cambridge University , UK 刘春莲,中山大学 刘建波,北京大学 Santanu Banerjee, IIT Bombay, India 邵龙义,中国矿业大学(北京) Steve Kershaw, Brunel University, UK 苏德辰 , 中国地质科学院 Subir Sarkar, Jadavpur University , India Tadeusz Peryt, Polish Geological Institute , Poland 吴亚生,中国科学院地质与地球物理所 杨晚 , Missouri University of Science and Technology , USA 会间地质考察负责人: 金振奎 , 中国石油大学(北京) 苏德辰,中国地质科学院 黄文辉,中国地质大学(北京) 会后地质考察负责人: 朱士兴,天津地质矿产研究所 陈世悦,中国石油大学(华东) 5 会议安排 5.1 接待,注册及现场报名 会议注册地点安排在北京昌平区军都旅游度假村大厅接待处,注册时间为 9 月 13 日上午 8:00 开始,全天接待。 现场报名人员需现场缴纳各项费用:全额注册费 1500 元,学生注册费 1000 元,陪同人员注册费 500 元。会后考察费用分别为 3000 元及 3500 元。 5.2 餐饮及住宿 会议安排入住地点为北京昌平区军都旅游度假村别墅区及主楼区。军都旅游度假村(三星标准)位于北京昌平区十三陵水库路 12 号。房型及价位:双人标准间, 300 元 / 天,普通套间 1180 元 / 天。 5. 3 接待晚宴 2013 年 9 月 14 日晚上 18:00 开始将在军都旅游度假村餐厅举行接待晚宴。 5. 4 用餐时间及地点 地点:军都旅游度假村餐厅 自助早餐: 7:00-:8:00 am 自助午餐: 12:00-13:30 pm 自助晚宴: 18:00-21:00 pm 注:请凭餐劵进入餐厅用餐。 5. 5 论文宣读 会议将安排 35+5 分钟、 30+5 分钟及 20+5 分钟的论文宣读时间。宣读论文的语言为英语。请在注册当天( 9 月 13 日)上传您的多媒体材料。联系人:李新坡,电话: 13621185350 。 5. 6 展板展示 展板展示时间安排在 9 月 14 日及 15 日。论文展板的作者可于 9 月 14 日及 15 日全天,在主会场外进行论文展示。会议不专门安排展板会场。与会代表可与自己关注的展板作者进行充分的交流和讨论。展板尺寸要求为:高 120 cm ,宽 90 cm 。如有问题,请与会务组联系。 5. 7 会间地质考察( 9 月 16 日) ( 1 )北京西部下苇甸寒武 — 奥陶系碳酸盐岩沉积剖面地质考察(领队:金振奎); ( 2 )北京西山永定河谷中元古界雾迷山组剖面地质考察(领队:苏德辰); ( 3 )北京延庆硅化木地质公园地质观察(领队:黄文辉)。 5.8 会后地质考察 ( 1 )天津蓟县元古界剖面,时间: 9 月 18 日 -9 月 20 日(领队:朱士兴); ( 2 )山东青岛海岸带现代沉积及诸城恐龙文化等,时间: 9 月 18 日 - 9 月 21 日(领队:陈世悦)。 会议日程安排 9 月 13 日 星期五 9 月 14 日 星期六 9 月 15 日 星期日 9 月 16 日 星期一 9 月 17 日 星期二 9 月 18-21 日 上午 报到 主编会议 开幕式 学术报告 学术报告 会间地质 考察 学术报告 会后地质考察 下午 报到 学术报告 展板展示 学术报告 展板展示 会间地质 考察 学术报告 闭幕式 晚上 预备会议 欢迎晚宴 青年优秀论文评奖 学术委员会、编辑委员会会议 6 论文宣读顺序及时间安排 9 月 14 日上午 开幕式及照相,主持人:刘洛夫教授( 8:00-9:20 ) 1 中国石油大学(北京)校领导致欢迎词 2 冯增昭教授致开幕词 3 特邀嘉宾国际沉积学家协会主席 Poppe L.de Boer 发言 4 《古地理学报》(英文版)副主编 Adam D.Woods 发言 5 全体与会代表合影留念 会议主持人: Ian D. Somerville 教授,金振奎教授 1 定量岩相古地理学 冯增昭( 9:20- 9 : 5 0 ) 2 从古地理学谈轨道周期(米兰科维奇旋回) Poppe L. de Boer ( 9 : 5 0-10:40 ) 10:40—10:50 茶点 3 华北前寒武纪雾迷山组中的米兰科维奇驱动的旋回 梅冥相( 10:50-11:25 ) 4 海洋酸化与二叠纪末期生物大绝灭实例研究 Steve Kershaw ( 11:25-12:00 ) 自助午餐 9 月 14 日下午 会议主持人:陈中强教授,范代读教授 1 四川盆地及周缘地区下寒武统及下志留统细粒沉积岩岩相古地理与油气潜景 郑和荣,彭勇民,龙胜详,胡宗全,高波( 14:00-14:35 ) 2 内蒙岱海现代辫状河三角洲沉积特征及沉积模式 金振奎 , 石良,李桂仔 , 王哲 , 高白水 , 赵东凤( 14:35-15:10 ) 15:10—15:25 茶点 会议主持人: Adam D. Woods 副教授,苏德辰教授 3 微生物席相关构造的古环境和生物地层学意义:现代坎贝湾和前寒武纪温迪亚盆地对比实例 Santanu Banerjee , Subir Sarkar , P. G. Eriksson ( 15:25-16:00 ) 4 碳酸盐岩生产力变化引起的潮缘碳酸盐旋回:华南贵州滩早三叠世温室台地概念模型 Wan Yang , Dan J. Lehrmann ( 16:00-16:35 ) 5 华南地区泥盆纪末期 — 石炭纪早期有孔虫的多样性模式和古生物地理的关系:全球性?地区性? Markus Aretz, Elise Nardin, Daniel Vachard (16:35-17:10) 6 中国现代自然环境形成的四个历史篇章 方修琦,张兰生( 17:10-17:45 ) 7 人地相互作用下长江三角洲南翼演化历史与趋势 范代读,张悦,吴伊婧,火苗,李婧( 17:45-18:20 ) 欢迎晚宴 9 月 15 日上午 会议主持人: Steve Kershaw 教授 胡斌教授 1 加拿大不列颠哥伦比亚省瓦皮帝湖硫磺山组 Vega-Phroso 粉砂岩段早三叠世鱼类化石埋藏学 Karen Anderson , Adam D. Woods ( 8:00-8:35 ) 2 中国北方中生代盆地古地理演化 柳永清,旷红伟,彭楠,许欢( 8:35-9:10 ) 3 侏罗纪沉积模式及其对中亚盆地的控制作用 Franz T. Fürsich , Hermann Munsch ( 9:10-9:45 ) 9:45—10:00 茶点 会议主持人: Subir Sarkar 教授,杜远生教授 4 山东诸城断陷白垩系古地震记录及其对恐龙化石埋藏的约束 何碧竹,乔秀夫,田洪水( 10:00-10:35 ) 5 中国西北部塔里木盆地层序地层及古地理演化 陈中强( 10:35-11:10 ) 6 德国中部陆相二叠—三叠系界线地层的古地理与相 Gerhard H. Bachmann , Heinz W. Kozur , Michael Szurlies ( 11:10-11:45 ) 自助午餐 9 月 15 日下午 会议主持人: A . J. ( Tom ) van Loon 教授 刘建波教授 (注:有*号者为青年优秀论文参选者) 1 * 印度北孟加拉中新 — 上新世西瓦里克群的古地理、古水流和古气候 Sunipa Mandal , Subir Sarkar , Pradip K. Bose ( 14:00-14:25 ) 2 *晚第四纪钱塘江下切河谷内浅层生物气藏盖层封闭机制 张霞,林春明,李艳丽,曲长伟,王淑君( 14:25-14:50 ) 3 黄河中下游现代生物遗迹及其分布特征 胡斌,王媛媛,宋慧波,王海邻( 14:50-15:15 ) 4 *相控油气作用及其临界条件 陈君青,庞雄奇,陈冬霞, 庞宏 ( 15:15-15:40 ) 5 珠江三角洲表层沉积物中有孔虫的环境意义及其在第四纪晚期古环境重建中的应用 吴洁,刘春莲, Franz T. F ü rsich ,杨婷婷,殷建( 15:40-16:05 ) 16:05—16:15 茶点 会议主持人: Franz T. Fürsich 教授,刘春莲教授 (注:有*号者为青年优秀论文参选者) 6 *印度西部卡洛期 — 牛津期奥帕尔菊石:生物地层学和古生物地理学意义 Pinaki Roy ( 16:15-16:40 ) 7 *右江盆地晚古生代硅质岩地球化学特征及其对盆地演化的启示 黄虎,杜远生,杨江海,黄宏伟,陶平,黄志强,余文超( 16:40-17:05 ) 8 中国北方侏罗纪硅化木的向光性及其古地理意义 蒋子堃, 刘本培, 邓胜徽,黎明明,刘璐,董淑欣,卢远征 ( 17:05-17:30 ) 9 *柴北缘鱼卡地区中侏罗统层序古地理及聚煤特征 李猛,邵龙义,鲁静,文怀军,李永红( 17:30-17:55 ) 10 *塔里木盆地下古生界白云岩类型及成因及油气潜景 张德民,鲍志东,潘文庆( 17:55-18:20 ) 11 *准噶尔盆地南缘侏罗系沉积演化及其对新疆北部古气候变化的指示作用 李顺利,于兴河,谭程鹏, Ronald J Steel ( 18:20-18:45 ) 12 *中上扬子地区晚二叠世吴家坪期岩相古地理 罗进雄,何幼斌 ( 18:45-19:10 ) 自助晚餐 20:00-21:00 青年优秀论文评奖委员会会议 9 月 16 日全天会间地质考察 9 月 16 日 20 :00-2 1 :30 学术委员会及编辑委员会会议 9 月 17 日上午 会议主持人: Gerhard H. Bachmann 教授 吴亚生教授 1 印度阿里耶卢尔县中 — 晚二叠世 Uttatur 群河口沙坝古地理的硅质碎屑 — 碳酸盐岩混合模式 Subir Sarkar , Nivedita Chakraborty , Anudeb Mandal , Santanu B anerjee , Pradip K . Bose ( 8:00-8:35 ) 2 中国煤层沉积学与层序地层学的回顾与展望 邵龙义,汪浩,鲁静,邵凯,张鹏飞( 8:35-9:10 ) 3 古震积岩鉴别标准应用的局限性 A. J . ( Tom ) van Loon , M . Moretti ( 9:10-9:45 ) 9:45—10:00 茶点 会议主持人:杨晚教授 邵龙义教授 4 中元古界雾迷山组大理岩中的变形叠层石 —— 同沉积地震活动的证据 苏德辰, A. J. ( Tom) van Loon ( 10:00-10:35 ) 5 胶东诸城晚白垩世恐龙化石埋藏学研究 旷红伟,柳永清,董超,彭楠,陈军,许欢 ( 10:35-11:10 ) 6 华南早奥陶世生物礁:类型和分布 刘建波,江崎洋一,足立奈津子,詹仁斌 ( 11:10-11:45 ) 自助午餐 9 月 17 日下午 会议主持人: Santanu Banerjee 教授,方修琦教授 1 欧洲喀尔巴阡前渊盆地中中新统 Badenian 阶古石膏相的古地理分布 Tadeusz Peryt ( 14:00-14:35 ) 2 意大利中部第四系热泉钙华的微生物组构 郭丽( 14:35-15:10 ) 3 江西修水二叠系 - 三叠系界线海平面下降事件与生物绝灭主幕的同时性 吴亚生,姜红霞,廖太平,刘丽静( 15:10-15:45 ) 15:45—16:00 茶点 会议主持人: Tadeusz Peryt 教授,郭丽博士 4 火山研究领域的新兴边缘学科 —— 火山沉积学 刘永顺( 16:00-16:35 ) 5 干旱气候背景下陆相高频振荡性湖盆沉积作用 —— 以东营凹陷早始新统为例 王健,操应长( 16:35-17:10 ) 闭幕式(主持人:鲍志东教授) 1 青年优秀论文颁奖 2 青年优秀论文获奖代表发言 3 与会代表对本届会议点评 4 第二届国际古地理学会议主办单位代表发言 5 会议总结报告 会议联系人: 刘洛夫 王 媛 郑秀娟 秦 刚 会务组成员 牛花 朋 (组长) 郑秀娟 吴晓鸣 杨 继 秦 刚 韩旭楠 薛朝阳 王 点 何双材 郭云飞 陆卿荣 吴陈冰结 张雪纯 张 贤 韩雨欣 刘博文 杨秋 旻 郭 铮 徐 源 续一简 李 辉 谭 希
2000年初我邀请日本e-cell课题组的M.Tomita参与筹备2001年10月北京第1届国际系统生物科学与工程(BSSE)*会议(1999年10月Nature发布,但因911而未成);然而,同年10月H.Kitano发布11月日本JST第9届国际会议为第1届国际系统生物学会议,而后,成立了国际学会和建立了东京研究所,2001年10月美国MIT出版会议论文集。 我参加了第11届和第12届国际系统生物学会议,应该说会议是很成功,今年第14届会议我没有计划参加;但是,还是阅读了有关会议资料,感觉很好。尽管2001年9月O.Wolkenhauer发表论文提到1968年美国西保留地大学举办过“系统论与生物学(systems theory and biology)”国际会议,D.Mesarovic已经提出“systems biology”术语和系统论方法研究生物系统的学科概念,而我查阅文献发现1968年的会议论文集是R.Rosen编辑出版。 在1986年我写成和1994年5月版《结构论》,参加10月北京纪念维尔纳科学哲学学派国际会议时为日本学者喜爱,掏出日元购买,而我却赠送给了他,那时,回中关村还去了北大张祥龙住宅,给他带上会议代表证书。在《结构论:泛进化理论》里论述系统医药学和系统生物工程,其中,就引用了R.Rosen系统分层次的数学方程,R.Rosen于1998年12月去世,而那个月我已经开始建立biosystem network的网站,2001年列出的重要资料中还有E.Kool的文献和T.Pawson于1995年发表蛋白质module概念的论文。 今年的国际系统生物学会议 - The ICSB 2013 will be held in Honour and Memory of Dr Tony Pawson (1952–2013),如有希望阅读有关会议资料的列在这里 - icsb2013_programme_overview.pdf 、 icsb2013_programme.pdf 。会议议程中有“个性化医学”(出现在S.Benner的2003年论文中)、“合成生物学”(2000年E.Kool重新于美国化学年会上提出)、“系统药物学”(1992年我提出的系统医药学之药物学概念)和“系统遗传学”(system genetics为1994年我提出)。 比较有趣的是系统科学以“systems”或“system”出现,R.Rosen应该是国际系统科学学会第1届会长,D.Mesarovic提出系统生物学喜用“systems”而我偏向于“system”较为简洁,国际上2005年发表的论文也用“system”和美国Oak Ridge国家实验室建立系统遗传学实验室也用“system”;但是,后来却改为“systems”,而今年的国际系统生物学会议,却用的是“systems biology”和“system genetics”等。 日本JST机构促进日本科技创新起重大的作用,往往国际前沿科技发展报告和政策由JST制定和推动,而且,建立前沿科学国际学会、首届会议,能够得到重大资助;但是,我们筹备国际学会和举办国际会议却远不容易,包括,国际转基因学会、系统生物工程、转基因禽类“输卵管生物反应器”(oviduct bioreactor)等,都是20世纪90年代在中国首先提出,却都是在国际上发展,距离越拉越远。 注*,2007年瑞士ETH成立D-BSSE系建制的研究中心。 -(《医学》)-
昨天估计每个第五届可降解金属国际会议的参会者都收到下面的邮件,感谢的话没什么需要重复的,主要的信息在于第六届可降解金属国际会议在没有讨论的前提下就被直接定位为意大利Maratea,第三次会到同一个地点开。也许有些人会比较失望,希望能够更多从科学上想,而不是讨论在同一个地点的boring。 Dear all : On behalf of the Organising Committee, I thanks you very much for your kind participation, and the quality of your presence at the 5 th Biometal 2013 in Umang island. We sincerely hope you reached home safely and enriched of a week of scientific exchanges, fruitful discussion and new friends. We received a number of appreciations for the high quality of the scientific presentations an discussion, and we have to heartfelt Thank you! for your invaluable work, spirit and presence. A number of you also underlined the importance of the unusual location for creating the conditions (out of the comfort zone of each one) required for the fostering of the creativity, curiosity and criticism so important for shifting scientific paradigm. Please note: ü The abstract special issue of eCM are available online at http://www.ecmjournal.org/journal/supplements/vol026supp05/BioMetal13.htm ü The abstract book and the final program and the traditional movie of the conference will be posted online during October. You will be notified when it will be ready for download or visit. Finally, BOOK your agenda : Next 6 th Biometal 2014 will be held in Aquafredda di Maratea, from 24 to 29 August 2014. Friendly regards Diego
单层保护(纳米)团簇国际会议(ISMPC13) 从上次的芬兰会议之后,于今年的7月31日-8月3日在美国科罗拉多州立大学举行。这是一个超小型会议,研究课题集中,日程紧凑,信息量很大。 共有约二十个邀请报告和二十个墙报。研究课题集中在单层保护的金、银和其他过渡金属纳米簇的合成及其在催化和生物医学中的应用等。 本届会议有四个特点: 一,大多数研究组使用质谱表征纳米簇/纳米粒子。而透射电镜使用得很少,而且仅用于辅助信息。由于电子束对粒子的破坏,提供的透射电镜图中的纳米粒子的均一性普遍较差。 二,应用方面,生物成像和治疗成为热点。有研究组已经开始在活体中测试金纳米粒子的代谢周期等生物学性质,但是银和其他金属没有相关的研究。 三,专注于计算、合成与表征的课题组之间的合作明显增多。很多研究组把合成的纳米粒子/簇送到其他的实验室做质谱表征或应用研究。 四,在银纳米粒子/簇的研究中,Ag 44 成为新的关注点。但是会议的所有报告中,都是用水作为合成体系,并且使用芳香分子作为保护配体。其原因可能与空间效应和功能团的亲电性有关。 总之,该会议是一个非常好的与同行交流研究进展和分享经验的机会。从中得到的启发和收获甚至超过做几个月实验的效果。下次ISMPC会议将在2015或2016年日本举行,如果有机会的话,期待能再次参加。 往届会议链接: ISMPC08 at University of Jyvaskyla (Finland) ISMPC11 at University of Jyvaskyla (Finland) ISMPC13 at Colorado State University (United States) 我的ISMPC13展板:Brust-Schiffrin方法合成银纳米粒子及其生长机理的研究 科罗拉多州立大学Pingree Park校区的山上,这些小木屋就是会议室和我们住的地方 -- 写博文的目的是分享和探讨学术经验,欢迎多多交流。 我的邮箱是YL378@georgetown.edu,Linkedin资料 http://www.linkedin.com/in/yangweiliu/
美国新墨西哥州大学数学系 Florentin Smarandache教授 在首届“可拓学与创新方法国际研讨会暨可拓学创立卅周年庆典 上作大会学术报告: 高维空间上的关联函数计算公式 Generalizations in Extenics of the Location Value and DependentFunction from A Single Finite Interval to 2D, 3D ,and n-D Spaces Prof. Florentin Smarandache , Ph D The University of New Mexico Math Science Dept. International Conference on Extenics , Beijing, 16-19 August, 2013
___“可拓学与创新方法国际研讨会”大会报告之一 可拓创新方法_ Extension Innovation Methods ( EIM ) 报告人:杨春燕研究员 Prof. Yang Chunyan Extension Engineering Society, Chinese Association for Artificial Intelligence Research Institute of Extenics and Innovation Methods, Guangdong University of Technology Key Laboratory of Innovation Method and Decision Management System of Guangdong Province
5th BIOMETAL 2013 International Symposium on Biodegradable Metals for Biomedical Application Program Chairmen: Prof. Diego Mantovani, Laval University, Canada Prof. Frank Witte, Universittsmedizin Berlin, Berlin, Germany, Germany Prof. Yufeng Zheng, Peking University, China Prof. Mark Staiger, University of Canterbury, New Zealand 下面的图片不知道为什么不清楚,请点击下载pdf文件阅读: Travel Information and Final Program 14.8.13.pdf
本人经过搜索,将罗列出 Computer Vision MultiMedia相关的国际会议以及其简单介绍,同时给出2013的官网地址。(三大会议排除 ICCV CVPR ECCV,人所周知了,其实大家发文章不用盯着三大会议,下面罗列出来的会议也不乏很多好会议) 1. IEEE International Conference on Image Processing(ICIP) 这个会议也是大家比较熟知的,图像,视觉,多媒体方向的研究都可以投。 http://www.ieeeicip.org/ 2. IEEE International Conference on Multimedia and Expo(ICME) 这个会议主要针对多媒体方向,还不错。 http://www.icme2013.org/index.php 3. IEEE International Conference on Acoustics, Speech and Signal Processing(ICASSP) 这个会议也是很多人都知道的,投稿范围很大。 http://www.icassp2013.com/ 4. IEEE Asian Conference on Pattern Recognition(ACPR) 这个会议是去年才开办(就在CAS.IA),今年第二次,希望大家踊跃投稿。 http://www.am.sanken.osaka-u.ac.jp/ACPR2013/index.html 5. Association for the Advancement of Artificial Intelligence(AAAI) 这个是一个组织,旗下很多会议,听说比较难中,看起来很厉害的样子。 http://www.aaai.org/home.html 6. ACM International Conference on Multimedia Retrieval(ICMR) 这个会议应该是和多媒体相关的,具体自己看看官网吧,应该是不错的会议。 http://icmr2013.org/ 7. IARIA International Conferences on Advances in Multimedia(MMEDIA) 首先IARIA is short for International Academy, Research, and Industry Association. 它旗下有很多会议,这个是其中一个,不知道这个会议如何。 http://www.iaria.org/ http://www.iaria.org/conferences2013/MMEDIA13.html 8. IAPR International Conference on Machine Vision Applications(IAPR MVA) 首先 IAPR is short for International Association of Pattern Recognition. IAPR这个组织不错,里面有相当多的相关会议,官网很详细。这个会议不知道怎么样,自己去看看官网吧。 http://iapr.org/index.php http://www.mva-org.jp/mva2013/ 9. FTRA International Conference on Multimedia and Ubiquitous Engineering(MUE) 首先FTRA is short for Future Technology Research Association International. 会议不知道怎么样,自己去看看官网吧。 http://www.ftrai.org/ http://www.ftrai.org/mue2013/index.html 10. IEEE International Workshop on Content-Based Mutimidia Indexing(CBMI) 这个研讨会高度和多媒体标记有关,多媒体方向的还是可以关注一下。 http://cbmi2013.mik.uni-pannon.hu/ 11. AIMI International Conference on Image Analysis and Recognition(ICIAR) AIMI is short for Association for Image and Machine Intelligence. 不知道这个组织影响力怎么样,会议看起来不错。 http://aimiconf.org/aimi/index.html http://www.iciar.uwaterloo.ca/iciar13/ 12. IAPR Scandinavian Conference on Image Analysis(SCIA) 坑爹啊,斯堪的纳维亚半岛都要搞个会议...不知道接不接受我们亚洲的... http://hatutus.org/scia2013/ 13. IEEE China SummitInternational Conference on Signal and Information Processing(ChinaSIP) 在中国的会议,要顶一下。 http://www.chinasip2013.org/ 14. EII International Conference on Signal and Image Processing(CSIP) EII = Engineeriing Information Institute. 不清楚这个组织的影响力,有兴趣的自己去看看。 http://www.engii.org/ http://www.engii.org/workshop/csip2013july/ 15. International Conference on Computer Vision System(ICVS) 不知道情况,自己去看看吧。 http://workshops.acin.tuwien.ac.at/ICVS/index.html 16. IASET International Conference on Multimedia and Human Computer Interaction(MHCI) IASET = International Academy of Science, Engineering and Technology. 不清楚情况。 http://mhci2013.international-aset.com/index.html 17. ACM Internal Conference and Exhibition on Computer Grahpics and Interactive Techniques(SIGGRAPH) 这个不多说了,很NB.... http://s2013.siggraph.org/ 18. International Conference on Signal Processing and Multimedia Applications(SIGMAP) 这个看起来很不错,发起的组织很多,可以去尝试一下。 http://www.sigmap.icete.org/ 19. ACM SIGMM International Conference on Internet Multimedia Computing and Service(ICIMCS) 这个会议好像都是在中国举行的,主要是大数据,多媒体相关。ACM SIGMM = ACM Special Interest Group on Multimedia。这个组织影响力还是不错的,旗下很多多媒体的会议。 http://www.sigmm.org/ http://icimcs2013.org/ 20. International Conference on Computer Analysis of Images and Patterns(CAIP) 这个会议由IAPR、Springer批准的,应该档次还行,可以关注一下。 http://www.cs.york.ac.uk/cvpr/caip2013/ 21. IEEE International Symposium on Image and Signal Processing and Analysis(ISPA) IEEE的,还是可以关注一下。 http://www.isispa.org/ 22. British Machine Vision Conference (BMVC) 很高档次的视觉会议,不过非British地区的文章好像据说很难被接受。 http://bmvc2013.bristol.ac.uk/ 23. International Conference on Image Analysis and Processing(ICIAP) 也是IAPR下面的会议,看样子不错,已经举办了很多次了。 http://www.iciap2013-naples.org/ 24. IEEE International Workshop on Multimedia Signal Processing(MMSP) 多媒体的可以关注一下。 http://www.mmsp2013.org/ 25. IEEE International Conference on Signal Image Processing Applications(ICSIPA) 在马来西亚。才举办三届,不知道好不好,可以看看。 http://spsocmalaysia.org/icsipa2013/ 26. ACM International Conference on Multimedia(ACM MM) 不多说,MM的顶级会议之一。 http://acmmm13.org/ 27. Neural Information Processing Systems(NIPS) 很牛的会议,好文章很多。 http://nips.cc/ 大概就这些了,详细的资料自己去看会议的主页。开会的地方大部分都是在欧洲,北美,东亚,想去旅游的先选好地点,然后再看那里有啥会议....只要导师给钱,什么都好说,^_^。 同时发现了几个Summer School: http://svg.dmi.unict.it/icvss2013/application.htm 这个好像很不错的样子,主要是视觉方面的。在意大利,要很多钱...欧元...有钱的可以去。 http://personalpages.manchester.ac.uk/staff/carole.j.twining/bmva/ 这个在英国,没有第一个好吧?有点酱油的嫌疑。 http://www.ipam.ucla.edu/secure/gssupload.aspx 这个也很牛,UCLA的,不过不是每次的主题都对号,自己看看情况再选择。
智能健康国际会议( International Conference for Smart Health ) 将于2013年 8 月 3-4 日 在北京翠宫饭店召开,欢迎大家参加! 2013 年度的智能健康国际会议( International Conference for Smart Health )将于 2013 年 8 月 3-4 日在北京翠宫饭店三层凝晖厅召开。本年度的智能健康国际会议旨在为中国地区的人工智能、生物信息、公共健康以及相关领域的学者提供一个与国际知名专家和学者进行学术交流的平台。 在会议期间,我们将邀请大数据和语义网方面的国际著名学者 Jim Hendler 教授 (Fellow of American Association for Artificial Intelligence, the British Computer Society, IEEE 以及 AAAS) 以及智能健康方面的国际著名教授 Hsinchun Chen ( Fellow of IEEE and AAAS ) 等做主题报告。这些国际顶级学者将详细阐述他们在大数据和智能健康方面的最新研究进展,并对潜在的研究方向予以深入讨论。与此同时,来自全球多个国家和地区的数十位研究者也将带来他们在智能健康方面的研究成果。相信此次会议一定会为我们带来很多新想法和新思路 。关于智能健康国际会议方面更为详细的信息,请您参阅我们的会议网站: http://ai.arizona.edu/ihic2013/ 。 欢迎各位踊跃报名参加,报名邮箱是: icsh2013@163.com 。
2013 年度的智能健康国际会议( International Conference for Smart Health )将与人工智能国际顶级学术会议 ——IJCAI ( International Joint Conference on Artificial Intelligence )一起于 2013 年 8 月 3-4 日在北京召开,其旨在为中国地区的人工智能、生物信息、公共健康以及相关领域的学者提供一个与国际知名专家和学者进行学术交流的平台。 在2013年度的智能健康国际会议期间,我们将邀请大数据和语义网方面的国际著名学者 Jim Hendler 教授 (Fellow of American Association for Artificial Intelligence, the British Computer Society, IEEE 以及 AAAS) 以及智能健康方面的国际著名教授 Hsinchun Chen ( Fellow of IEEE and AAAS ) 等做主题报告。这些国际顶级学者将详细阐述他们在大数据和智能健康方面的最新研究进展,并对潜在的研究方向予以深入讨论。会议召开地点在:北京翠宫饭店凝晖厅。 关于智能健康国际会议方面更为详细的信息,请参阅我们的会议网站: http://ai.arizona.edu/ihic2013/ 。会议报名邮箱是: icsh2013@163.com 。欢迎各位踊跃参加!
最近忙毕业论文,还是蛮有收获的,现在看英文文献水平比寒假提高不少,翻译水平也有点提高,画图技能也大幅度提升。cnki的e-learning软件在使用中有更深的体会,有些小问题直接反馈软件技术部,得到解答,也有不少收获。只是这个软件不太支持外文。虽然cnki的学术搜索可以导入部分文献,但大部分要自己新建题录。在写开题报告的时候,我引用的文献太多,最后导致我修改一次内容,在参考文献顺序调整上,就要折腾3个多小时。所以这次运用文献管理软件,希望在不断修改内容的时候,可以省点事情。 在看外文,引用参考文献的时候,对会议论文还是有点不太懂,所以特别学习下会议论文格式。 会议论文集: 序号 作者.题名.见:(In:)主编.(,eds.)论文集名.出版地:出版社,出版年.起页-止页 1. 张全福,王里青.“百家争鸣”与理工科学报编辑工作 .见:郑福寿主编.学报编论丛:第2集. 南京:河海大学出版社,1991.1-4 2. Dupont B.Bone marrow transplantation in severe combined inmunodeficiency .In:White H J,Smith R,eds.Proc. of the 3rd Annual Meeting of Int Soc for Experimental Hematology (ISEH).Houston:ISEH,1974.44-46
Dear Colleagues, the 20th International Conference on Gas Discharges and their Applications will be held in Orléans from July 6 to July 11, 2014. The abstract submission is now open. Please follow the instructions given on the conference website and submit your contribution before October 15. The list of Invited Speakers is available here For any other information, please visit GD2014 website http://gd2014.sciencesconf.org/ or contact us at gd2014@sciencesconf.org We are looking forward to seeing you in Orléans next year. The Local Organizing Committee Dunpin Hong, Chair of GD2014 Maxime Mikikian, Secretary Jean-Marc Bauchire, Program Chair Hervé Rabat, Coordinator It is a great pleasure to invite you to take part in the 20 th International Conference on Gas Discharges and their Applications , which is to be held in Orleans, France, and exceptionally in July (from 6th to 11th) instead of September. We are confident that this conference, like previous editions, will be a forum of discussion for our community. All aspects of electrical discharges will be explored from advanced models to emerging applications . We expect that new challenges and recent breakthroughs in this field will be presented during this conference. We will update this website with lots of information about the conference, as well as practical information about travel and accommodation. If you need additional information, do not hesitate to contact us at gd2014@sciencesconf.org . We are looking forward to welcoming you together with your guests in Orleans in July 2014. We hope you will enjoy the scientific presentations and the atmosphere of our city along the royal Loire River. Local Organizing Committee Dunpin HONG (Chairman), Maxime MIKIKIAN, Jean-Marc BAUCHIRE, Hervé RABAT
应国立台湾大学朋友要求,在此宣传一下将于2013年10月在美国旧金山举行的第223届电化学国际会议—半导体化合物分会,希望大家踊跃参加: 224 th ECS Meeting San Francisco , California | October 27 – November 1, 2013 The Hilton San Francisco Hotel 333 O’Farrell Street, San Francisco, CA 94102 State-of-the-Art Program on Compound Semiconductors 55 http://www.electrochem.org/images/pdf/sf_call_papers.pdf - Abstracts are due May 17, 2013 or earlier! Abstract Submission is now OPEN! Compound and wide bandgap semiconductors are a significant enabler of numerous optoelectronic, high-speed, power, and sensor electronic materials, devices, and systems. The SOTAPOCS 55 symposium will address the most recent developments in inorganic compound and wide bandgap semiconductor technology, including traditional III-V materials, III-nitrides, II-VI materials, silicon carbide, diamond, and other emerging materials. Papers on both practical and fundamental issues, and new nanoscale investigations and application of compound semiconductor nanomaterials are solicited. The following areas are of particular interest: (1.) Advances in bulk, epitaxial and nanoscale growth technologies; (2.) Advances in device processing; (3.) Novel electronic, optoelectronic, and sensor devices; (4.) Schottky and ohmic contact technology; (5.) Dielectric properties and passivation; (6.) Wafer bonding and packaging; (7.) In situ and ex situ process monitoring; (8.) Material characterization and wafer level testing and mapping; (9.) Process induced defects; and (10.) Reliability and device degradation mechanisms; (11.) Growth and characterization of compound semiconductor nanoscale material and devices. (12.) Compound semiconductor nanodevices. A hard-cover issue of ECS Transactions is planned to be available “AT” the meeting. All authors accepted for presentation must submit their full text manuscript for the issue no later than June 21, 2013. All manuscripts will be submitted online, and must be in either MS Word or PDF format. Abstracts should be submitted electronically using the link above, and questions and inquiries should be sent to the symposium organizers: C. O’Dwyer , Department of Chemistry, and Tyndall National Institute, University College Cork, Cork, Ireland, Tel: +353-21-490-2732, email: c.odwyer@ucc.ie , E. Douglas , Sandia National Laboratories, Tel: 505-844-1674, email: Erica.Douglas@sandia.gov , J. H. He, Institute of Photonics and Optoelectronics Department of Electrical Engineering, National Taiwan University, Tel: +886-2-33669646, email: jhhe@cc.ee.ntu.edu.tw ; S. Jang, Department of Chemical Engineering, Dankook University, Korea, Tel: +82-31-8005-3623, email: jangmountain@dankook.ac.kr Confirmed Invited Speakers : Ying-Hao Chu , National Chiao Tung University , Taiwan Yi Cui , Stanford University , USA Lutz Geelhaar , Paul-Drude-Institute for Solid State Electronics (PDI) , Germany Ali Javey , University of California at Berkeley , USA Chennupati Jagadish , The Australian National University , Australia Hao-Chung Kuo , National Chiao Tung University , Taiwan Lincoln Lauhon , Northwestern University , USA Paul C. McIntyre , Stanford University , USA Taishi Takenobu , Waseda University , Japan Peidong Yang , University of California at Berkeley , USA Meeting Registration All participants, including authors and invited speakers of the 224 th ECS Meeting, are required to pay the registration fees. Registration information will be posted on the ECS website as it becomes available. Registration Fees | view here Hotel Reservations and Travel Information Make your hotel reservation now at The Hilton San Francisco Hotel - the meeting headquarters hotel with special discounted rates starting from $179. The cut-off date to make reservations is September 27, 2013 OR UNTIL THE BLOCK SELLS OUT, whichever comes first. Additional Hotels (proximity to Hilton San Francisco) Villa Florence Hotel : special discounted rates starting from $229. The cut-off date to make reservations is September 27, 2013 OR UNTIL THE BLOCK SELLS OUT, whichever comes first. Abri Hotel : special discounted rates starting from $229. The cut-off date to make reservations is September 27, 2013 OR UNTIL THE BLOCK SELLS OUT, whichever comes first. Transportation and Parking If you require a U. S. VISA, please begin the application process at least three months in advance of the meeting. Please visit the following site for further information: U.S. Visa Information for Foreign Travelers Request ECS Letter of Invitation Student Travel Grants Currency Converter
昨晚写了一篇博文, 应该大力支持研究生参加国际会议 。主要是看到国内母校的一则新闻有感而发。 今天正好收到秘书的来信,介绍学校的各项奖学金的申请和截止日期。正好看到其中一个是维大关于参加国际会议的基金申请的规定,可以看到也没有要求必须是口头报告,poster即可。 因为是德语版本,所以google翻译成英文如下: DISSEMINATION * Target group: PhD students of all disciplines in the university of Vienna, who were invited as part of their research introduce international conference (ie a registered Lecture / poster has been accepted) * Grant amount: up to 350, - with in Europe, to 650, - outside Europe 其实一般情况下,是不需要申请这个基金的,因为导师会从项目中出会议的钱。但是至少多了一种选择,即使钱是肯定不够的。
The Fourth Conference on Computational and Mathematical Population Dynamics The Fourth Conference on Computational and Mathematical Population Dynamics (CMPD4) will take place in North University of China,Taiyuan, China, from May 29 to June 2, 2013. This is the fourth joint meeting of the Conference on Mathematical Population Dynamics (MPD) and the Conference on Deterministic and Stochastic Models for Biological Interactions (DeStoBio), with a 26-year history of international meetings. The previous joint conferences were CMPD1 (Trento, Italy, 2004); CMPD2 (Campinas, Brazil, 2007); CMPD3 (Bordeaux, France, 2010). The goal of this international conference is to bring together leading researchers from different fields (applied mathematics, biology, computer science, ecology, epidemiology, medicine, public health, etc.) to report and communicate with each other about their current work on computational and mathematical population dynamics. The conference will also provide a unique opportunity for graduate students and postdoctoral fellows to interact with leading researchers in these areas.For futher information about the conference, please contact CMPD4_2013@yahoo.com . http://202.99.210.182:2013/
请访问 http://www.biodegradablemetals.org/ Umang Island welcomes the 5 th Symposium on Biodegradable Metals Following the successes of the past four editions, we are proud to welcome you to the 5 th Symposium on Biodegradable Metals in Umang Island, Indonesia from Sunday August 25th to Saturday August 31st, 2013. This year again, the symposium will be characterized by its academic-conference-style with an openly discursive format, rather than a lecture and question–answer format. This style will be completed by the traditional discussions at the end of each session and the unconventional daily beach session early in the afternoon. Besides the presentations, this symposium aims to discuss open questions on the session topics, new approaches, background knowledge, and personal views among the participants. Furthermore, discussions at the poster session will focus on specific topics and will encourage the participants to raise critical questions or help each other advancing the field of biodegradable metals. The tropical environment offered by the beauty of the Umang Island, and overall Indonesia, will well complete the experience we intend to offer to all attendees. The location was especially selected for the 5 th Biometal 2013 to be closer to our numerous Australasian attendees. Please join us to deepen our knowledge in the field and to discover this part of the world! If potentially interested, we strongly suggest you to do not wait last minute to register as the number of attendees is limited and registration will be treated on a first-come, first-served basis. We really hope to welcome you in Umang Island next August! Co-Chairs Prof. Diego Mantovani, Prof. Mark Staiger, Prof. Yufeng Zheng, Prof. Frank Witte
今年9月23-27日在巴基斯坦伊斯兰堡举行第13届国际先进材料研讨会。 真诚邀请中国学者参会! 参会巴基斯坦,领略别一番风情! 被选作特邀报告的中国同行将会得到免注册费、免住宿费和提供往返机票的待遇。 有兴趣的国内同行可以尽快与本博主联系。 ———————————————————————————————————————— 13 th International Symposium on Advanced Materials 23 - 27 September 2013, Islamabad, Pakistan WELCOME TO ISAM 2013 For more than two decades now, the International Symposium on Advanced Materials (ISAM) has become a regular biennial event for the scientific community. Such events help to integrate international research activities enabling to meet the challenges posed by an ever changing future. ISAM strives to offer dynamic researchers an international milieu to share and discuss their achievements in the field of advanced materials, besides providing researchers an interlude from the monotony at their workplaces. All along, the forum also opens new vistas for young scientists and engineers as they interact with their highly experienced counterparts. The increasing number of European and South Asian experts bears testimony to the success of ISAM. As in preceding years, ISAM-2013 is expected to be the focal point of a large number of foreign and local delegates active in the field of materials science . Symposium Topics Nanoscience and Technology Surface Engineering Phase Transformation and Materials Characterization Advances in Materials Processing Materials Modeling and Life Assessment Important Deadlines ISAM-2013 Submission of Abstract March 15, 2013 Notification of Acceptance April 15, 2013 Submission of Manuscript June 15, 2013 Submission of Microstructure June 31, 2013 Registration August 15, 2013
WELCOME TO ISAM 2013 For more than two decades now, the International Symposium on Advanced Materials (ISAM) has become a regular biennial event for the scientific community. Such events help to integrate international research activities enabling to meet the challenges posed by an ever changing future. ISAM strives to offer dynamic researchers an international milieu to share and discuss their achievements in the field of advanced materials, besides providing researchers an interlude from the monotony at their workplaces. All along, the forum also opens new vistas for young scientists and engineers as they interact with their highly experienced counterparts. The increasing number of European and South Asian experts bears testimony to the success of ISAM. As in preceding years, ISAM-2013 is expected to be the focal point of a large number of foreign and local delegates active in the field of materials science . Symposium Topics Nanoscience and Technology Surface Engineering Phase Transformation and Materials Characterization Advances in Materials Processing Materials Modeling and Life Assessment Important Deadlines ISAM-2013 Submission of Abstract March 15, 2013 Notification of Acceptance April 15, 2013 Submission of Manuscript June 15, 2013 Submission of Microstructure June 31, 2013 Registration August 15, 2013
由大连理工大学、韩国忠北国立大学、荷兰 DELFT理工大学、荷兰鹿特丹Erasmus大学、哈尔滨工程大学以及 我们(中科院政策与管理所) 共同组织的亚太危机与应急管理论坛暨 第六届中韩危机与应急管理双边研讨会将于2013年6月22-23日在大连理工大学召开。 欢迎投稿,欢迎报告,欢迎参加,欢迎关注! June 22 - 23, 2013 Dalian, China 中国大连 会议网站之一: http://spal.dlut.edu.cn/cem (建设中......) 会议网站之二:中国应急管理研究网 http://emr.casipm.ac.cn • 2013 Pacific Asia Symposium on Crisis and Emergency Management (PASCEM 2013)亚太危机与应急管理论坛 • 6th SKSCEM: 2013 Sino-Korea Symposium on Crisis and Emergency Management第六届中韩危机与应急管理双边研讨会 CITY, CRISIS, and INCLUSION The PASCEM 2013 and 6th SKSCEM are serial international symposiums that cover all domains related to the theory development, empirical studies, best practices of crisis and emergency management within Asia-Pacific areas. Those premium events aim to create an international platform for researchers, educators, and practitioners from colleges and universities, research institutes, government agencies and other related organizations to exchange ideas, share knowledge, build networks, and explore future potentials in the field of crisis and emergency management. The proceedings will be published by Dalian University of Technology Press with the name of CITY, CRISIS, and INCLUSION: Proceedings of PASCEM 2013 and SKSCEM 2013 and then will be submitted for inclusion into CPI-SSH. Selected papers will be included as revised articles in International Review of Crisis and Emergency, and Bulletin of Emergency Management. For more details, please visit the conference website at http:/spal.dlut.edu.cn/cem. Important Dates - Date of Main Conference: June 22 - 23, 2013 - Paper Submission: due to Apr. 1, 2013 - Paper Review: Apr. 1 - Apr. 15, 2013 - Review Results(Acceptance/Reject) Notification: Apr. 15 - Apr. 20, 2013 - Registration/Final Camera-ready Submission: Apr. 20 - Apr. 30, 2013 Scope and Topics The following topics are enthusiastically encouraged but not limited to: TOPIC 1: Urban crisis management and innovation under the inclusive development -Crisis, emergency, Inclusive development and new urbanization -Inclusive development and crisis management innovation -Inclusive development and urban infrastructure emergency -Inclusive development and social crisis -urban infrastructure development and PPP -Urban infrastructure integrated system to sustain regional development -Urban land management modes and space distribution of emergencies and crisis -Human mobility, crisis management, and infrastructure supplement TOPIC 2: Urban governance and disaster mitigation in post-crisis-era -Trans-boundary crisis management -Trans-boundary crisis transfer and synergistic response -Crisis recovery and innovation -Public leadership under emergency pressure -Interactive influence between infrastructure service interruption and emergency response -Urban infrastructure development and disaster mitigation policies -Disaster prevention and emergency response technologies in urbanization -Urban natural disaster risk analysis -Urban emergency response capabilities measurement -The vulnerability of metropolitan -The synergistic emergency response for regional crisis -Network theory and crisis management TOPIC 3: Critical infrastructures policy and management -Critical infrastructure protection and public security -Vulnerability analysis and mitigation for infrastructures -Modeling and simulation for interdependent security of infrastructures -Joint capacity management and optimization for infrastructures -Resilient engineering of infrastructure -Risk management of infrastructure under life cycle perspective -Infrastructure policy in the setting of disaster mitigation and emission mitigation -Safety management and accident analysis of mega infrastructure project Contact Us If you have any further questions on PASCEM 2013 SKSCEM 2013, please feel free to contact us at Tel: +86-411-84707479 Dr. Peng Li (+86-133 2225 4522, Dalian) Dr. Huanming Wang (+86-186 4282 1064, Dalian) Dr. Fei CHI(+86-10-59358825, Beijing) E-mail: iscem2013@163.com ** Please kindly forward this e-mail to your colleagues or students who may be interested.
IEEE Intelligence and Security Informatics (ISI) 2013 Call for Papers June 4-7, 2013, Seattle, WA, USA THEME: Big Data, Emergent Threats and Decision-Making in Security Informatics HOST: Pacific Northwest National Laboratory Conference website: http://ISIconference2013.org IMPORTANT DATES · Paper submission due date : Feb 15, 2013 NEW DEADLINE – March 11, 2013 · Notification of acceptance : Mar 18, 2013 April 8, 2013 · Camera ready copy : Apr 29, 2013 · Due date for workshop proposals : Feb 18, 2013 . Intelligence and Security Informatics (ISI) is an interdisciplinary research field involving researchers in information technologies, computer science, public policy, bioinformatics, and social and behavior studies as well as local, state, and federal law enforcement and intelligence experts, and information technology industry consultants and practitioners to support counterterrorism and homeland security missions of anticipation, interdiction, prevention, preparedness and response to terrorist acts. The annual IEEE International ISI Conference series was started in 2003. The ISI 2013 conference program will feature three main topic streams: · Big Data in Security Informatics · Emergent Threats · Decision-Making in Security Informatics. See TOPICS page in the conference website for details. ISI 2013 will include a special session on Emergent Phenomena and Big Data sponsored by the Intelligence Advanced Research Projects Activity (IARPA). Other confirmed program activities include: · Keynote Speakers : o Dr. Joseph Kielman – Department of Homeland Security. o Dr. CAPT Dylan Schmorrow / LT David Combs – US Office of the Secretary of Defense. o Dr. William Burns – Decision Science Research Institute Inc. · Workshops o Evaluating Visualization in Support of Analytical Reasoning and Decision Making for Cybersecurity – Organizing institution: Innovative Analytics. o Signature Discovery for Intelligence and Security – Organizing institution: Pacific Northwest National Laboratory. o Social Media Analytics – Organizing institutions: Boeing; University of Arizona. · Panels o Funding Opportunities in Intelligence and Security Informatics – Panelists: Various funding agency program managers. o Open Source Intelligence and Predicting the News – Panelists: Jason Matheny (IARPA) and Established performers and PIs from IARPA’s Open Source Intelligence Program. o Security and Information Assurance – Panelists: Dewey Houck (Boeing), Nick Multari (Pacific Northwest National Lab); Ken Neves (Los Alamos National Lab); Felix Wu (UC Davis) PAPER SUBMISSION Submissions may include papers on systems, methodology, test-bed, modeling, evaluation, and policy. Research should be relevant to informatics, organization, or public policy in applications of counter-terrorism or protection of local/national/international security in the physical world or cyberspace. Submission file formats are PDF and Microsoft Word. Required Word/LaTex templates (IEEE two-column format) can be found on IEEE's Publications web pages . Submissions can be long (6,000 words, 6 pages max) or short (3000 words, 3 pages max). Papers in English must be submitted electronically via the submission website at https://www.easychair.org/conferences/?conf=ieeeisi2013 .
Photos for 第一届自然与社会 交叉研究国际会议 时间:2012年12月14-15日 左起:Prof. Serge Galam (National Center for Scientific Research , France); Prof.Janet Denise Dilling (Florida State University); 宋雅杰教授(耶鲁大学); myself with Prof. Serge Galam (National Center for Scientific Research , France) 与顾基发教授(中科院 系统科学研究所) with Prof.Janet Denise Dilling (Florida State University); with Prof. Yoshiteru NAKAMORI (Japan Advanced Institute of Science and Technology); 5 6 7 8 with Prof. Serge Galam (National Center for Scientific Research , France); 与唐锡晋教授(中科院科技政策与管理科学研究所) 宋雅杰教授(耶鲁大学)在闭幕式上讲话
Photos for 第一届自然科学与社会科学 交叉研究国际会议 时间:2012年12月14-15日 Group photo 第二排左起: 顾基发教授(中科院 系统科学研究所); Prof.Janet Denise Dilling (Florida State University); Prof. Serge Galam (National Centerfor Scientific Research , France); 牛文元 院士 (中科院 科技政策管理科学研究所), 宋雅杰教授(耶鲁大学); Prof. Yoshiteru NAKAMORI (Japan Advanced Institute of Science and Technology); 汪秉宏教授 (中国科学技术大学) Left Right
Dear Colleagues and Friends : On behalf of the Organising Committee, I would like to inform you that next Biometal-2013 will be held in Umang Island, Indonesia from Sunday 25 to Saturday 31 August 2012. Please be advised we were obliged to advance the starting date from Monday 26 to Saturday 25 August, 2013. We strongly invite you to mark your calendar. We promise this will be a unforgettable conference, from scientific, social and cultural/touristic point of views. Umang Island is at the same time: - a very calm and isolated place suitable for critical thinking and sharing ideas; - a very charming tropical island, paradise for snorkelling and scuba diving, as well as jungle trekking, rhinoceros observation, tropical fishing on bamboo platforms, underwater volcanos seeing, and many others; Umang is one the rare place on earth where sunset and sunrise are available …. We really hope to meet you again next year there! The website will be available in January. Please accept our best wishes for a Wonderful 2013! Our deepest wishes to you and your family for a Happy New Year. Diego Mantovani on behalf of the Organising Committee
E-MRS 2013 Spring Meeting and Nanoscale Themed Issue on Functional Nanoparticles for Biomedical Applications Dear Colleagues, We are delighted to announce the forthcoming E-MRS 2013 Symposium U "Design of multifunctional nano-objects for biomedical applications” in Strasbourg (France) on 27th - 31th May 2013: http://www.emrs-strasbourg.com/index.php?option=com_contenttask=viewItemid=1583id=614 You are invited to attend the symposium U. To submit abstracts, please click on this link: http://www.emrs-strasbourg.com/index.php?option=com_contenttask=viewid=569Itemid=1583 The deadline for abstract submission is January 16, 2013. HOT TOPICS TO BE COVERED BY THE SYMPOSIUM: - Design, synthesis and characterization of nanoparticles: inorganic, polymers, liposomes, dendrimers. - Biofunctionalisation of nanoparticles - Biocompatibility - Targeting strategies - Drug delivery systems - Biomedical Imaging (e.g. MRI, MPI, SPECT, PET) - Therapeutics (e.g, hyperthermia, curie theraphy) - Diagnostics (e.g., enzymatic assay, immunoassay, biosensing) - Biodistribution/bioelimination - Nanotoxicology CONFIRMED INVITED SPEAKERS: • Nadine Millot, France • Beatriz Hernandez, Spain • Maximo Masserini, Italy • Ricardo Bentes de Azevedo, Brasil. • Francesco Stellaci, Suisse. • Sébastien Lecommandoux, France • Robert Muller, Belgique. • Christine Menager, France • Ijeoma Uchegbu, UCL, UK. • Franois Berger, France. We also invite you to submit an article to the NANOSCALE themed issue "Functional Nanoparticles for Biomedical Applications" (impact factor 5.9). The article must report extremely novel, very high quality original research work or review material of broad general interest using the following link : http://blogs.rsc.org/nr/2012/09/05/functional-nanoparticles-for-biomedical-applications/ The deadline for article submission is 30th April 2013, the articles will be published on line as soon as being accepted, and, the page numbers will be ready for 2013 issue 15 or 16. Should any question arise, please do not hesitate to get in touch with any member of the organising committee. We are looking forward to seeing you in Strasbourg in MAY 2013 Very Best Wishes, The symposium chairs NGUYEN TK THANH, University College London, UK ETIENNE DUGUET, ICMCB, France PUERTO MORALES, ICMM, Spain CLAIRE BILLOTEY, CNRS-UCBL, France SYLVIE BEGIN, IPCMS, France
4th International Symposium on Surfaces and Interfaces for Biomaterials http://issib.org/ The series of International Symposium on Surface and Interface of Biomaterials (ISSIB) was conceived in 2005 and the first conference in this series (ISSIB-2007) was held in Chengdu, China, in October 2007. Following the great success of ISSIB-2007, the International Symposium on Surface and Interface of Biomaterials took place in Hong Kong in January 2010 and in Japan in 2011. Surfaces and interfaces in biomaterials are key issues in the biomaterials and tissue engineering field and form a key scientific base for the rapid development in this field. They are at the forefront of biomaterials R D, and play a major role for technology transfer toward industries. In this context, the 4 th ISSIB-2013 aims to provide an international forum for scientists, engineers, clinicians and medical device manufacturers to present and discuss the latest scientific findings and technological developments in a very challenging context connected with surfaces and interfaces of biomaterials. The synthesis, characterization, testing, modeling, assessment, application, and other pertinent aspects of the surface and interface of biomaterials will be covered by the conference. The 4 th ISSIB-2013 will promote multidisciplinary and transversal research, with international and inter-institutional collaborations. Through sharing new ideas and discussing concepts at the cutting edge of the field important issues regarding biomaterials surface and interface can and will be tackled, thus advancing the science and engineering of biomaterials. Prospective authors are cordially invited to 1) register their name in our newsletter to keep them updated and 2) submit abstract(s) and paper(s) to the 4 th ISSIB-2013. Student participation is strongly encouraged. Affordable lodging will be especially proposed for students and delegates. Rome was selected for hosting this event mainly for its broad international and unique historical dimension, as well as its safeness for delegates, and easy accessibility. We already committed for offering a very genuine and affordable experience, at both scientific and personal level. We look forward to meeting delegates from various parts of the world in Rome in September 2013! Diego Mantovani, PhD Laval University, Canada On behalf of the Organising Committee
转自: http://blog.csdn.net/celestialwy/article/details/1619538 ComputerSecurityConferenceRanking and Statistic Guofei Gu Ranking Note: How to judge how good a conference is? In my opinion, here are several criteria: Acceptance ratio: definitely an important metric (maybe the easiest metric that can be quantified), but not the only metric Paper quality and impact: how many classic papers are from this conference? how much impact do papers from this conference have on the community? are they well cited and studied? Committee member quality: what's the quality of TPC members? are they noted researchers in this area? This is an important factor because they will affect the quality of submission (good papers will prefer to submit to the conference with noted researchers in the committee), and control the quality of accepted papers. Attendee/Paper number ratio: another quantified metric. This somehow reflects the influence of this conference on the community Location: a beautiful place has some attraction. In addition, many researchers (but not all) are not very willing to travel to other countries due to limited funding or time (or VISA problem...), so they just submit papers to local conferences. Thus, normally the conferences located in USA are better than in Europe, which is also better than in Asia. H istory: a conference with a long history may have a good tradition and reputation Industry connection: this somehow reflects the impact on the industry. Normally, conferences on more applied techniques will attract more industry partners (so have more money to improve the quality of conference) This ranking list is only in my opinion. It is not official, nor accurate, only for reference. Some good workshops are also included. I'm probably biased because I'm mainly a network/system security researcher. Notify me if you have different views, or you find significant conferences missing/bias. I'm more than happy to hear from you. Some conferences are arguably better belonging to rank 1.5 or 2.5. At this time, I'm not going to differentiate these. Let me know if you have some strong comments. Long time ago, I maintained a general computer science conference ranking (a mirror of my previous Georgia Tech page). Rank 1 SP (Oakland) IEEESymposiumonSecurityandPrivacy CCS ACMConferenceonComputerandCommunicationsSecurity Crypto International Cryptology Conference Eurocrypt EuropeanCryptology Conference Security UsenixSecuritySymposium NDSS ISOC Network and Distributed System Security Symposium Rank 2 ESORICS EuropeanSymposiumonResearchinComputerSecurity RAID International Symposium on Recent Advances in Intrusion Detection ACSAC Annual Computer Security Applications Conference DSN The International Conference on Dependable Systems and Networks CSF (CSFW) IEEEComputer Security Foundations Symposium. Supersedes CSFW (ComputerSecurityFoundationsWorkshop) TCC Theory of Cryptography Conference Asiacrypt International Conference on the Theory and Application of Cryptology and Information Security IMC Internet Measurement Conference Rank 3 SecureComm IEEE Communications Society/CreateNet Internation Conference on Security and Privacy for Emerging Areas in Communication Networks DIMVA GI SIG SIDAR Conference on Detection of Intrusions and Malware and Vulnerability Assessment AsiaCCS ACM Symposium on Information, Computer and Communications Security ACNS International Conference on Applied Cryptography and Network Security FC International Conference on Financial Cryptography and Data Security SAC ACM Symposium on Applied Computing ACISP AustralasiaConferenceonInformationSecurityandPrivacy ICICS International Conference on Information and Communications Security ISC Information Security Conference ICISC International Conference on Information Security and Cryptology SACMAT ACM Symposium on Access Control Models and Technologies CT-RSA RSA Conference, Cryptographers' Track SEC IFIP International Information Security Conference WiSec (WiSe, SASN) ACM Conference on Wireless Network Security Supersedes WiSe (ACM Workshop on Wireless Security) and SASN (ACM Workshop on Security of Ad-Hoc and Sensor Networks) SOUPS Symposium On Usable Privacy and Security IFIP WG 11.9 IFIP WG 11.9 International Conference on Digital Forensics -- Workshops below -- DFRWS Digital Forensic Research Workshop FSE Fast Software Encryption workshop PKC International Workshop on Public-Key Cryptography NSPW New Security Paradigms Workshop IH WorkshoponInformationHiding WSPEC WorkshoponSecurityandPrivacyinE-commerce DRM ACM Workshop on Digital Rights Management IWIA IEEE International Information Assurance Workshop IAW IEEE SMC Information Assurance Workshop "The West Point Workshop" CHES Workshop on Cryptographic Hardware and Embedded Systems SRUTI USENIX Workshop on Steps to Reducing Unwanted Traffic on the Internet HotSec USENIX Workshop on Hot Topics in Security LEET (HotBots,WORM) USENIX Workshop on Large-scale Exploits and Emergent Threats Supersedes HotBots (USENIX Workshop on Hot Topics in Understanding Botnets) and WORM (ACM Workshop on Recurring/Rapid Malcode) Others (not ranked) ISPEC Information Security Practice and Experience Conference Acceptance Ratio Statistic Note: This could be the most complete (and accurate?) list of computer security conference statistic you can find on Internet. There are still some blanks in this list. If you have any reliable source of these information (or some other security conferences), please email me ( guofei AT cs.tamu.edu ). Thanks. You can see from the following statistic, security conferences are becoming harder and harder to get in in the recent five years! And I think this trend will possible continue in the near future. Year Rank 1 Rank 2 Rank 3 Year IEEE SP ACM CCS USENIX Security NDSS CSF/CSFW ESORICS RAID ACSAC IMC SecureComm DIMVA AsiaCCS ACNS SOUPS DFRWS 2010 15.4%(24/156) 2010 2009 10% (26/253) 18.4%(58/315) 14.7%(26/176) 11.7% (20/171) 19.1%(42/220) 19.6%(44/224) 25.3%19/75,full paper) 34.7%(26/75) 29.5%(13/44) 22.4% (33/147, regular) 27% (40/147) 21% (32/150) 2009 2008 11.2% (28/249) 18%(51/281) 15.9% (27/170) 17.8% (21/118) 18%(21/115) 22%(37/168) 25%(20/80) 24%(42/173) 33%(14/42) 17.6% (32/182,full) 22.5% (41/182) 22.9%(30/131) 28%(12/43) 39.5%(17/43) 2008 2007 8% (20/246,full paper) 12% (29/246) 18% (55/303) 12.3% (23/187) 14.4% (18/125) 25%(25/101) 23.8%(39/164) 18%(18/100) 22%(42/191) 26%(31/119) 24.6%(14/57) 18.3% (33/180,full paper) 29.4% (53/180) 12% (31/260) 31.7%(13/41) 47.2%(17/36) 2007 2006 9.2% (23/251, full paper) 12.7% (32/251) 14.8%(38/256) 12.3% (22/179) 13.4% (17/127) 24.5%(25/102) 20%(32/160) 17% (16/93) 30%(40/134) 21% (19/92, full paper track) 25.4%(32/126) 26.8%(11/41) 17.7% (33/186) Start from 2006 15.1% (33/218) 36%(14/39) 44.4%(16/36) 2006 2005 8.9% (17/192) 15.2% (38/250) 14.8% (22/149) 12.9% (16/124) 20.8% (20/96) 17.0% (27/159) 20.5% (17/83) 22.8% (45/197) 27% (22/82, full paper) 22.2% (32/144, full paper) 36.1% (52/144) Start from 2005 27%(14/51) 22.2% (35/158) 10/39 2005 2004 10.2% (19/186) 13.9% (35/251) 12.0% (22/184) 16.3% (16/98) ? (20/?) 17.0% (27/159) 13.5% (16/118) 26.1% (35/134) 19% (19/98,full paper) 34%(14/41) Start from 2004 12.1% (36/297) 2004 2003 14.5% (19/131) 13.8% (35/253) 16.4% (21/128) 20.5% (17/83) ? (17/?) 16.7% (19/114) 29.5% (13/44) 26% (19/73, full paper) 16.8% (32/191) Start from 2003 2003 2002 22.1% (21/95) 17.6% (27/153) 16.9% (22/130) 19.0% (15/79) 27.4% (23/84) 19.3% (16/83) 25.0% (16/64) 24% (15/62, full paper) 2002 2001 17.8% (19/107) 17.6% (27/153) 28.9% (24/83) 24.2% (16/66) 38.9% (21/54) not held 21.8% (12/55) 26% (14/53), Start from 2001 2001 2000 13.1% (18/137) 21.2% (28/132) 29.4% (15/51) 45.8% (22/48) 25.3% (19/75) 53.8% (14/26) 2000 1999 24.6% (15/61) 19.3% (16/83) 40.4% (19/47) not held ? (32/?) 1999 1998 16.4% (19/116) 20.0% (17/85) 33.3% (15/45) 40.4% (23/57) 67.3% (35/52) Start from 1998 1998 1997 18.2% (20/110) 26.6% (17/64) not held not held 1997 1996 29.9% (20/67) 32.2% (19/59) 36.2% (21/58) 1996 1995 27.8% (20/72) not held not held 1995 1994 29.2% (19/65) 44.3% (31/70) not held Start from 1994? 36.6% (26/71) 1994 1993 24.3% (17/70) 45.0% (27/60) Start from 1993 not held 1993 1992 23.6% (21/89) ? (24/?) 1992 1991 30.4% (28/92) not held 1991 1990 Start from 1990? ? (?/?) Start from 1990 1990 1989 1989 1988 Start from 1988 1988 1987 1987 1986 27.5% (25/91) 1986 1985 39.7% (25/63) Start from 1985 1985 1984 64.1% (25/39) 1984 1983 67.6% (23/34) 1983 1982 55.9% (19/34) 1982 1981 1981 1980 100% (19/19) Start from 1980 1980 Thanks the following people for information and suggestions/comments on the ranking and statistic: Mihai Christodorescu, Kevin Almeroth, Jianying Zhou, Zhiqiang Lin, Jonathan Katz, Vinod Yegneswaran, Thomas Zimmermann, Thorsten Holz, Paul A. Karger, Monirul Sharif, Ragib Hasan, Simson Garfinkel, Robin Sommer, Ton van Deursen, ... , and you. Oakland:95分,全称IEEE Symposium on Security Privacy,每年都在Oakland召开。 之所以不简称SP,是为了跟一个magazine----IEEE Security Privacy区分开来。被认 为是计算机安全的最高会议,比ACM的CCS更受尊敬。该会自称接受一切与计算机安全的文 章,但我感觉其以应用型为主,对理论性的文章尤其crypto-flavor的文章非常排斥。 CCS:92分,ACM SIGSAC的年会。该会宣称只接受practical papers,然而事实上却是安全 方面最diversified的会议,从纯粹密码学的文章到非常应用性的文章都有。传统上该会议 的politics比较严重,但今年的program committee非常强大,有望使会议质量进一步提高 。 USENIX Security:91分。USENIX是systems research方面的重要组织,主办了systems方面的若干重要会议,如OSDI(操作系统的第二会议)等。USENIX Security Symposium则是systems security的著名会议,文章基本陷于hardcore systems类型。 NDSS:90分,很好的一个关于网络和分布式系统安全的会议,偏应用型。 ESORICS:88分,欧洲的计算机安全年会。跟CCS一样广泛的范围,包容性甚至更强。 CSFW:85分,一个小型的workshop,然而在安全方面有一定影响。算是为数不多的受到尊 敬的workshops之一。 ACSAC:82分?一个纯粹应用型的安全会议,纯粹到其文章大部分都很难算作传统意义上的 research papers。但其研究的问题都非常的实用和有趣。 SACMAT:82分?Access control方面的一个比较重要的会议。 SecureComm:今年刚刚办起来的网络安全会议。从其program commitee来看,起点很高。 但能有多大影响还要过一段时间才能知道。 *********************************** CRYPTO(95分),EUROCRYPT(94分),ASIACRYPT(90分):IACR的三大年会,在“我知 道的几个理论会议”里已经写过。 TCC(87分):一个新会议,focused on 密码学理论。起点很高,但能有多大影响还要过一段时间才能知道 ACNS(84分?):密码学与网络安全结合的会议。历史很短,但接受率颇低(百分之十五 以下)。 转自 http://faculty.cs.tamu.edu/guofei/sec_conf_stat.htm
王 应 宽 December 12, 2012 Beijing, China 参加国内国际会议,你听懂了多少? 前几天参加由中国科协主办、中国科技期刊编辑学会承办的第 252 次青年科学家论坛 - 科技传播与学术不端(相关报道参见前博文),听一位为国外出版集团效劳的专家报告称,据国外某项研究表明,在国际会议会议中,真正能听懂演讲者报告内容的人不足 10% 。刚听到此数据颇为震惊。只有如此少的听众能真正听明白报告人的内容,真是同行难寻,知音难觅啊!每年世界各国的专家花费昂贵的人力、物力、财力到世界各地参加国际会议,而听懂的内容如此之少,可以想见大部分的出国费都遗憾的浪费了。当然,如果报告人所介绍的是自己独创的新理论、新见解、新技术,听众一时难以接受,也是可以理解和原谅的。据说爱因斯坦首次提出相对论时,全世界也没有几个人能真正理解。但我不禁要问,对一个针对某个领域的专业性的会议,参会者都是同行专家,如果只有不足 10% 的听会者能听懂,那么超过 90% 的参会者听会者在干什么?由此可以想见学者浮们躁厌学之心,参会效率之低下。现在全社会的人似乎都很忙,也不知道在忙啥,以至于学者们忙得没时间做学问了!我每次给人打电话,正式通话前都要试探性问一下是否方便通话:上班时间,打电话时就问“是否在开会或开车”,中午或其他时间就问“是否在打盹还是打牌”! 我想如果对于同行专家,听不懂演讲者的报告,很可能是参会者没有认真听会。近几年,笔者也出访了近十个国家,参加了数十次国际会议。经常在会场看到一些参会者因为时差关系或天生嗜睡,总在会场打盹梦周公。对于那些打盹儿、走神儿或干私活儿的听会者,因为没有认真听会听不懂是必然的,也是可以理解的。还有一些参会者,借着出国参会的名义出国观光游山玩水,他们交了注册费后,根本就不去会场,因为他们根本就没听,当然听不懂报告人的报告。如果把注册参会的人都记入统计口径,听懂率低也是必然的。这么干的中国学者大有人在。因为中国人多优势也延伸到国际会议的会场,比如某个分会场本来人就不多,中国学者们悉数到场顿时人气很旺,但只要被带队的院长、书记、实验室主任或项目负责人“一声令下”全体撤离,会场顿时冷清许多。曾有会议组织者找我抗议和抱怨,你们中国学者拿了邀请函来参会,出国到了参会地点却不参加会议,影响会场的秩序和气氛。 刚学习了鲁白先生的精选博文“如何提高开会的效益”( http://blog.sciencenet.cn/blog-393255-641222.html ),非常认同。鲁白先生的文章提出了很多可以帮助提高开会效益的办法措施。虽然他博文没有提到学术会议,我想这些办法措施还是可以借鉴使用的。要提高开会效益是需要认真做些功课的。如果带着问题去参会听会,效果就会不一样。如同我们上课,预习和不预习的听课效果不一样。 美国社会学家 Stephen R. Covey 在其专著《高效能人士的个习惯》( The Seven Habits of Highly Effective People )中提出有 5 种倾听的态度和方法( Five levels of listening ): l Ignoring--- 不听 l Pretending to listen--- 假听 l Selective listening--- 选听 l Attentive listening--- 专听 l Empathetic Listening--- 倾听 我想,参加国内国际会议的代表采取什么样的听的态度和方法,直接决定听会的效果。如果参会者都采取专心听和全身心倾听的方式,我相信对同行专家参加本领域的会议,听懂率绝不止 10% 。 造物主赋予我们两只耳朵,一张嘴,据说是希望我们多听少说。因此,采取什么样的态度和方法去听会对提高开会效益至关重要。希望大家学会听,在听中学习新知识。 Learning to listen, and Listening to learn (学会听,听中学)。 七个好习惯 Dr. Wang asked an invited US speaker questions during IFABSE 2012 in Beijing, on Nov.8-10, 2012 Sleeping in the meeting 开会睡觉 开会不端
根据IEEE的解释说这些会议不满足IEEE的高质量要求,所以被剔除。不幸的是,很多在中国: IEEE has a long-standing commitment to ensuring the high quality of its conferences and of the conference proceedings published in IEEE Xplore ®. Through its regular conference quality-monitoring process, IEEE became aware of inconsistencies in some conferences with regard to the quality of the peer review and technical program development. In 2010, IEEE launched an in-depth investigation through its Technical Program Integrity Committee, a volunteer-based committee responsible for ensuring the quality of IEEE publications. The Committee concluded that the procedures followed by the technical program organizers of certain conferences were insufficient to assure compliance with IEEE's high standards for quality publications. Therefore, IEEE has decided not to publish the proceedings from these conferences in IEEE Xplore . The decisions of the Committee are final. A list of the conferences impacted can be found below. These conferences represent less than five percent of the conferences published in IEEE Xplore in 2010 and 2011. Where copyrights were transferred to IEEE, those transfers of rights are now null and void and revert back to the authors. Authors wishing confirmation of their copyright ownership should complete the form below. Upon receipt, IEEE will send a confirmation e-mail indicating that the transfer of copyright for the author’s paper is now “null and void” as provided on the IEEE Copyright Form, and that the author is the copyright owner of the paper. If Copyright Forms have not yet been signed and transmitted, then copyright will remain with the author. In either case, the authors are free to seek publication of their papers in other journals or venues. If you have any further inquiries, please refer to the Frequently Asked Questions page. 原文地址:http://www.ieee.org/conferences_events/conferences/publishing/author_form.html 被剔除的国际会议名单如下: 2010 2nd Asia-Pacific Conference on Information Processing (APCIP) 2010 2nd International Asia Symposium on Intelligent Interaction and Affective Computing 2010 2nd International on Innovation Management (ASIA-ICIM) 2010 2nd International Conference on Future Computer and Communication (FCC) 2010 2nd International Conference on Information and Multimedia Technology (ICIMT) 2010 2nd International Conference on Intellectual Technique in Industrial Practice (ITIP 2010) 2010 2nd International Conference on Multimedia and Computational Intelligence (ICMCI) 2010 2nd International Conference on Research Challenges in Computer Science (ICRCCS) 2010 2nd International Symposium on Computer Network and Multimedia Technology (CNMT 2010) 2010 3rd International Conference on Computational Intelligence and Industrial Application (PACIIA) 2010 3rd International Conference on Environmental and Computer Science (ICECS) 2010 3rd International Conference on Machine Vision (ICMV) 2010 3rd International Conference on Power Electronics and Intelligent Transportation System (PEITS) 2010 4th International Conference on Intelligent Information Technology Application (IITA) 2010 6th International Conference on MEMS, NANO, and Smart Systems (ICMENS) 2010 First International Conference on Cellular, Molecular Biology, Biophysics and Bioengineering (CMBB) 2010 IIS 2nd International Conference on Signal Processing, Robotics and Automation (ICSRA 2010) 2010 International Asia Conference on Optical Instrument and Measurement (ACPIM) 2010 International Conference on Bio-Inspired Systems and Signal Processing (ICBSSP) 2010 International Conference on Biology, Environment and Chemistry (ICBEC) 2010 International Conference on Broadcast Technology and Multimedia Communication (BTMC) 2010 International Conference on Circuit and Signal Processing (ICCSP) 2010 International Conference on Communication and Vehicular Technology (ICCVT) 2010 International Conference on Computational Intelligence and Vehicular System (CIVS) 2010 International Conference on Computer and Computational Intelligence (ICCCI) 2010 International Conference on Computer and Software Modeling (ICCSM) 2010 International Conference on Computer Science and Sports Engineering (CSSE) 2010 International Conference on Computer-Aided Manufacturing and Design (CMD) 2010 International Conference on Construction and Project Management (ICCPM) 2010 International Conference on Digital Enterprise and Digital Manufacturing (DEDM) 2010 International Conference on E-business, Management and Economics (ICEME) 2010 International Conference on Economics, Business and Management (ICEBM) 2010 International Conference on Electrical Engineering and Automatic Control (ICEEAC) 2010 International Conference on Embedded Systems and Microprocessors (ICESM) 2010 International Conference on Engineering Education and Educational Technology (EEET) 2010 International Conference on Future Biomedical Information Engineering (FBIE) 2010 International Conference on Future Computer, Control and Communication (FCCC) 2010 International Conference on Future Industrial Engineering and Application (ICFIEA) 2010 International Conference on Future Information Technology (ICFIT) 2010 International Conference on Future Information Technology and Computing (FITC) 2010 International Conference on Graphic and Image Processing (ICGIP) 2010 International Conference on Information and Finance (ICIF) 2010 International Conference on Information Security and Artificial Intelligence (ISAI) 2010 International Conference on Intelligence and Information Technology (ICIIT) 2010 International Conference on Intelligent Network and Computing (ICINC) 2010 International Conference on Management Science (ICMS) 2010 International Conference on Management Science and Information Engineering (ICMSIE) 2010 International Conference on Manufacturing Science and Technology (ICMST) 2010 International Conference on Measurement and Control Engineering (ICMCE) 2010 International Conference on Mechanical and Aerospace Engineering (ICMAE) 2010 International Conference on Mechanical Engineering, Robotics and Aerospace (ICMERA) 2010 International Conference on Modeling, Simulation and Control (ICMSC 2010) 2010 International Conference on Nano Science and Technology (ICNST) 2010 International Conference on Nanotechnology and Biosensors (ICNB) 2010 International Conference on Nuclear Energy and Engineering Technology (NEET) 2010 International Conference on Physics Science and Technology (ICPST) 2010 International Conference on Psychology, Psychological Sciences and Computer Science (PPSCS) 2010 International Conference on Remote Sensing (ICRS) 2010 International Conference on Semiconductor Laser and Photonics (ICSLP) 2010 International Conference on Services Science, Management and Engineering (SSME) 2010 International Conference on Signal and Information Processing (ICSIP) 2010 International Conference on Software and Computing Technology (ICSCT) 2010 International Conference on Sport Medicine, Sport Science, and Computer Science (SMSSCS) 2010 ISECS International Colloquium on Computing, Communication, Control, and Management (CCCM 2010) 2010 Second International Conference on E-Learning, E-Business, Enterprise Information Systems, and E-Government (EEEE) 2010 Second International Conference on Test and Measurement (ICMT) 2010 Second International Seminar on Business and Information Management (ISBIM) 2010 Third International Conference on Computer and Electrical Engineering (ICCEE) 2010 Third International Conference on Education Technology and Training (ETT) 2010 Third International Symposium on Intelligent Ubiquitous Computing and Education (IUCE) 2010 Third Pacific-Asia Conference on Web Mining and Web-Based Application (WMWA) 2011 15th Global Chinese Conference on Computers in Education (GCCCE) 2011 2nd Asia-Pacific Conference on Wearable Computing Systems (APWCS) 2011 2nd International Conference on Biomedical Engineering and Computer Science (ICBECS) 2011 2nd International Conference on Biotechnology and Food Science (ICBFS) 2011 2nd International Conference on Data Storage and Data Engineering (DSDE) 2011 2nd International Conference on e-Education, e-Business, e-Management, and e-Learning (IC4E) 2011 2nd International Conference on Environmental Science and Technology (ICEST) 2011 2nd International Conference on Financial Theory and Engineering (ICFTE) 2011 2nd International Conference on Mechanical, Industrial, and Manufacturing Technologies (MIMT) 2011 2nd Intl Conf on Innovative Computing Communication and 2010 Asia-Pacific Conf on Information Technology Ocean Engineering, (CICC-ITOE) 2011 2nd World Congress on Computer Science and Information Engineering (CSIE) 2011 3rd International Conference on Bioinformatics and Biomedical Technology (ICBBT 2011) 2011 3rd International Conference on Computer and Automation Engineering (ICCAE) 2011 3rd International Conference on Computer and Network Technology (ICCNT) 2011 3rd International Conference on Computer Design and Applications (ICCDA 2011) 2011 3rd International Conference on Computer Modeling and Simulation (ICCMS) 2011 3rd International Conference on E-business and Information System Security (EBISS) 2011 3rd IEEE International Conference on Information Management and Engineering (ICIME) 2011 3rd International Conference on Information Science and Engineering (ICISE) 2011 3rd International Conference on Machine Learning and Computing (ICMLC) 2011 3rd International Conference on Networks Security, Wireless Communications and Trusted Computing (NSWCTC) 2011 3rd International Conference on Signal Acquisition and Processing (ICSAP) 2011 3rd International Workshop on Education Technology and Computer Science (ETCS) 2011 4th IEEE International Conference on Computer Science and Information Technology (ICCSIT 2011) 2011 13th IEEE Joint International Computer Science and Information Technology Conference (JICSIT) 2011 IEEE 3rd International Conference on Signal Processing Systems (ICSPS) 2011 IEEE 12th International Conference on Computer-Aided Industrial Design Conceptual Design (CAID CD) 2011 IEEE International Conference on Computational Intelligence and Computing Research (ICCIC) 2011 IEEE International Conference on Information and Education Technology (ICIET) 2011 IEEE International Conference on Management Science and Intelligent Control (ICMSIC) 2011 IEEE International Conference on Smart Grid and Clean Energy Technologies (ICSGCE) 2011 IEEE World Congress on Engineering and Technology (CET) 2011 International Conference on Applied Physics and Mathematics (ICAPM 2011) 2011 International Conference on Artificial Intelligence and Computational Intelligence (AICI) 2011 International Conference on Bioinformatics and Computational Biology (ICBCB) 2011 International Conference on Bioscience, Biochemistry and Bioinformatics (ICBBB) 2011 International Conference on Communication and Electronics Information (ICCEI) 2011 International Conference on Computational Intelligence and Software Engineering (CiSE) 2011 International Conference on Computer and Communication Devices (ICCCD) 2011 International Conference on Computer Applications and Network Security (ICCANS) 2011 International Conference on Computer Control and Automation (ICCCA) 2011 International Conference on Computers, Communications, Control and Automation (CCCA) 2011 International Conference on Control, Robotics and Cybernetics (ICCRC) 2011 International Conference on Data Engineering and Internet Technology (DEIT) 2011 International Conference on Database and Data Mining (ICDDM) 2011 International Conference on Digital Convergence (ICDC) 2011 International Conference on Economics and Finance Research (ICEFR) 2011 International Conference on Economics, Business and Marketing Management (CEBMM) 2011 International Conference on Economics, Trade and Development (ICETD) 2011 International Conference on Electrical Energy and Networks (ICEEN) 2011 International Conference on Energy and Environment (ICEE) 2011 International Conference on Engineering and Information Management (ICEIM) 2011 International Conference on Environment Science and Engineering (ICESE) 2011 International Conference on Environmental Science and Development (ICESD) 2011 International Conference on Future Environment and Energy (ICFEE 2011) 2011 International Conference on Fuzzy Systems and Neural Computing (FSNC) 2011 International Conference on Green Energy and Environmental Sustainable Development (GEESD) 2011 International Conference on Information and Computer Applications (ICICA) 2011 International Conference on Information and Computer Networks (ICICN) 2011 International Conference on Information and Industrial Electronics (ICIIE) 2011 International Conference on Information Engineering and Mechanical Engineering (IEME) 2011 International Conference on Information Security and Intelligence Control (ISIC) 2011 International Conference on Information Systems and Computational Intelligence (ICISCI) 2011 IEEE International Conference on Information Theory and Information Security (ICITIS) 2011 International Conference on Innovation and Information Management (ICIIM) 2011 IEEE International Conference on Intelligent Computing and Integrated Systems (ICISS) 2011 IEEE International Conference on Intelligent Computing and Intelligent Systems (ICIS 2011) 2011 International Conference on Intelligent Information Networks (ICIIN) 2011 International Conference on Knowledge Discovery (ICKD) 2011 International Conference on Life Science and Technology (ICLST) 2011 International Conference on Manufacturing and Industrial Engineering (ICMIE) 2011 International Conference on Mechanical and Aerospace Engineering (ICMAE) 2011 International Conference on Medical Information and Bioengineering (ICMIB) 2011 International Conference on Network Communication and Computer (ICNCC) 2011 International Conference on Opto-Electronics Engineering and Information Science (ICOEIS) 2011 International Conference on Product Development and Renewable Energy Resources (ICPDRE) 2011 International Conference on Security Science and Technology (ICSST) 2011 International Conference on Social Science and Humanity (ICSSH) 2011 International Conference on Solid-State and Integrated Circuit (ICSIC) 2011 International Conference on System Design and Data Proceesing (ICSDDP) 2011 International Conference on System Modeling and Optimization (ICSMO) 2011 International Conference on Systems Engineering and Modeling (ICSEM) 2011 International Conference on Technological Advancements in Civil Engineering (ICTACE) 2011 International Conference on Traffic and Logistic Engineering (ICTLE) 2011 International Conference on Traffic and Transportation Engineering (ICTTE) 2011 IEEE International Conference on Waste Recycling, Ecology and Environment (ICWREE) 2011 International Congress on Computer Applications and Computational Science (CACS) 2011 Second International Conference on Pervasive Computing, Signal Processing and Applications (PCSPA 2011) 2011 Third International Conference on Advances in Computing, Control and Telecommunication Technologies (ACT) 2011 WASE International Conference on Information Engineering (ICIE) 2012 International Conference on Computer and Management (CAMAN) 2012 IEEE International Conference on Engineering Technology and Economic Management (ICETEM) 2012 International Conference on Computer and Information Science, Safety Engineering (CAISSE) 2012 International Conference of Agricultural Engineering (ICAE) 2012 International Conference Management and Information Engineering (ICMAIE) 2012 2nd International Conference on Business Management and Electronic Information (BMEI) 2012 2nd International Conference on Electric Technology and Civil Engineering (ICETCE) 2012 4th International Conference on Electronics Computer Technology (ICECT) 2012 6th International Conference on Bioinformatics and Biomedical Engineering (ICBBE) 2012 IEEE 3rd International Conference on Emergency Management and Management Sciences (ICEMMS) 2012 IEEE 5th International Conference on Management Engineering Technology of Statistics (ICMETS)
今天收到 IGF12 会议通知: IGF 是 internet governance forum (中文:互联网治理论坛)的英文缩写。 主题:Participate during #IGF12 发件人: Diplo Internet Governance Community 收件人: shenyang 时 间: 2012年11月6日 (星期二) 20:50 The annual Internet Governance Forum has started! Once again, it brings stakeholders to the same table to discuss issues that affect important aspects of the Internet. We invite you to take part in the discussions and engage with the IG community at www.diplointernetgovernance.org , regardless of whether you will be in Baku, or in the comfort of your own home/office. Below you can find out about the platforms and the channels you can use to participate. We invite you to join in! Get Involved The IGF workshops and sessions are webcasted live, together with live text transcripts. The webcasts allow you to tune-in and observe audio-and-video from each session from any computer. But you don't just have to watch - you can get involved. The online conference rooms (the links are available at http://www.intgovforum.org/cms/remote-participation/connect-now ) enable you to participate in the workshop. Join the room to share your comments or ask questions through a remote moderator sitting in that session who is there to share your comments and questions with the panel and participants in that session. Alternatively, if available in a location close to you, you can join one of the 50 remote hubs: http://www.intgovforum.org/cms/remote-participation/list-of-remote-hubs-2012 A full list of workshops is available on the IGF website: http://www.intgovforum.org Take part in the conversation Many people participate in the IGF by blogging and tweeting to share ideas, insights and reflections on the sessions. Using social media tools such as blogging, tweeting and sharing other relevant content helps you capture insights from the conference, and be part of a conversation that takes place online around the world. The various social media tools allow you to: Blog about themes and workshops – we invite you to share your blogs with the community via your page at www.diplointernetgovernance.org . Read the short guide on how to use the platform to share your content , which contains many tips. Please tag your blog posts #igf12 (no spaces) Share videos and photos – videos and photos are a great way of sharing interviews or snapshots about what happens on the ground. The guide will also show you how to upload them on the community platform. Please tag your photos #igf12 (no spaces) and write a very short description. They will be added to a main album. Tweet from/about workshops , adding #igf12 to your tweets. On the community platform, you can also post your tweet as a status update (left column, under Main), and post it simultaneously to Twitter and Facebook. Join the group IGF 2012 , which has been created to serve as a virtual meeting place for anyone who is attending the IGF in person, as well as those who will be participating remotely. Use this group to discuss, share information, and network before, during and after IGF 2012. Once you have created content: Share it – tweet about your blog posts, photos and video on Twitter, and share links on Facebook. If you would like us to RT your tweets or share your content on Facebook, add #igcbp to your tweet, or tag us (@Diplo Igcbp) on Facebook. Join us on social media – we will be sharing content from the community platform, and RT/sharing content that is relevant and useful, via our social media accounts: - Twitter: @igcbp - Facebook: www.facebook.com/igcbp - Facebook page: www.facebook.com/internetgovernance - Youtube: www.youtube.com/DiploFoundation See you online or in Baku, and on www.diplointernetgovernance.org ! Visit Diplo Internet Governance Community at: http://www.diplointernetgovernance.org/?xg_source=msg_mes_network 刚去浏览一下会议网页,找到2张说明什么是IGF 好玩的图 ( http://diplomacy.edu/ig ),分享一下: IGF-1 IGF-2 沈 阳 2012年11月6日 21:32 Res.
王应宽 October 25, 2012 Beijing, China 2013年食品科学相关领域领域国际会议信息 January January 30, 2013 Feeding the World, 2013 Amsterdam, The Netherlands www.economistconferences.com/FTW February February 11-12, 2013 3rd Annual European Food Manufacturing Safety Summit 2013 Noordwijk aan Zee, The Netherlands foodmanufacturingevent.com February 19-20, 2013 NZ-OZ Sensory Symposium Wellington, New Zealand rebecca.shingleton@fonterra.com 26 February - 1 March, 2013 4th MoniQA International Conference Budapest, Hungary budapest2013.moniqa.org March March 5-6, 2013 11th Annual World Food Technology and Innovation Forum 2013 Dublin, Ireland www.foodinnovate.com March 6-8, 2013 Global Food Safety Conference Barcelona, Spain www.tcgffoodsafety.com March 14-15, 2013 ICAFE 2013 : International Conference on Agricultural and Food Engineering Rio de Janeiro, Brazil www.waset.org/conferences/2013/brazil/icafe/ March 27-28, 2013 IFT Wellness 13 Chicago, Illinois, USA ift.org/wellness April April 7-11, 2013 American Chemical Society National Meeting: Chemistry of Energy and Food New Orleans, Louisiana, USA www.acs.org April 7-11, 2013 Food Beverage Environmental Conference Cambridge, Maryland, USA www.affi.org/events/2013-food-beverage-environmental-conference April 15-17, 2013 2nd International Pharma-Nutrition Singapore www.pharma-nutrition.com April 21-26, 2013 Seaweed Science for Sustainable Prosperity Bali, Indonesia www.xxiseaweedsymposium.org May May 7-10, 2013 EuroFoodChem XVII Istanbul, Turkey www.arber.com.tr/eurofoodchemxvii.org May 14-15, 2013 ConTech2013 Ai Group Confectionery and Food Sector Technical Conference Melbourne, Victoria, Australia julie.barnes@aigroup.asn.au May 15-17, 2013 IAFP's European Symposium on Food Safety Marseille, France www.foodprotection.org June June 3-6, 2013 Nutraceuticals World Asia 2013 Singapore www.terrapinn.com/conference/nutraceuticals-world-asia/index.stm June 12-14, 2013 3rd International Symposium on Gluten-Free Cereal Products and Beverages Vienna, Austria www.icc.or.at/node/1697 June 30-July 4, 2013 International Congress of Toxicology 2013 Seoul, Korea www.ict2013seoul.org July July 2-4, 2013 NZIFST Annual Meeting Hastings, New Zealand www.nzifst.org.nz/conference.asp July 8-12, 2013 American Dairy Science Association Indianapolis, Indiana, USA www.adsa.org July 13-16, 2013 IFT Annual Meeting Chicago, Illinois, USA www.ift.org July 14-17, 2013 46th Annual AIFST Convention Brisbane, Queensland, Australia www.aifst.com.au July 28-31, 2013 IAFP 2013 International Association for Food Protection Charlotte, North Carolina www.foodprotection.org August August 11-15, 2013 10th Pangborn Sensory Science Symposium Rio de Janeiro, Brazil www.pangborn2013.com August 25-28, 2013 127th AOAC Annual Meeting Exposition Chicago, Illinois, USA www.aoac.org/meetings1/future_meetings.htm September September 9-11, 2013 13th ASEAN Food Conference Singapore www.sifst.org.sg September 11-13, 2013 8th NIZO Dairy Conference Papendal, The Netherlands www.nizodairyconference.com September 16-20, 2013 Drinktec 2013 Munich, Germany www.drinktec.com September 23-26, 2013 EPNOE 2013 International Polysaccharide Conference Nice, France epnoe2013.sciencesconf.org October October 1-3, 2013 International Nonthermal Food Processing Workshop Florianópolis - Santa Catarina, Brazil www.nonthermalfood2013.com October 27-30, 2013 11th Euro Fed Lipid Congress and 30th ISF Lecture Series Antalya, Turkey www.eurofedlipid.org/meetings/antalya/index.htm October 28-November 1, 2013 IDF World Dairy Summit Yokohama, Japan www.fil-idf.org November November 19-21, 2013 Seventh Dubai International Food Safety Conference and IAFP's First Middle East Symposium on Food Safety Dubai, UAE www.foodsafetydubai.com/welcome/
Corpus Linguistics 2013 语料库语言学2013国际会议 Lancaster University, UK – 22nd to 26th July 2013 The seventh international Corpus Linguistics conference ( CL2013 ) will be held at Lancaster University from Tuesday 23rd July 2013 to Friday 26th July 2013. The main conference will be preceded by a workshop day on Monday 22nd July. The conference is hosted by the UCREL research centre , which brings together the Department of Linguistics and English Language with the School of Computing and Communications at Lancaster. About the conference The goals of the conference are as follows. To gather together current and developing research in the study and application of corpus linguistics; To push the field forwards by promoting dialogue among the many different users of corpora across interconnected sub-disciplines of linguistics – be they descriptive, theoretical, applied or computational; To explore new challenges both within corpus linguistics, and in the extension of corpus approaches to new fields of study. With these goals in mind, we invite contributions on as broad and inclusive a basis as possible. The areas in which we particularly welcome submissions include but are not limited to: Critical explorations of existing measures and methods in corpus linguistics; New methods and techniques in corpus development, annotation and analysis; Corpus approaches to the study of new media; New tools and techniques developed in corpus-based computational linguistics; The application of corpus approaches in the social sciences and humanities; The extension of corpus linguistics to an ever-wider range of (non-English) languages; The interface between corpus and theory; The use of corpora in discourse analysis; The use of corpora in second language acquisition studies and language pedagogy. Plenary speakers We are delighted to announce that the following speakers have accepted our invitation to give plenary lectures at CL2013: Karin Aijmer Guy Cook Michael Hoey Ute Rmer Key dates 31st October 2012 – abstract submission opens via conference website 31st October 2012 – deadline for proposals for workshops 8th January 2013 – deadline for abstract submission 15th February 2013 – notification of the outcome of peer review; early bird registration opens 1st April 2013 – early bird registration closes 30th June 2013 – final deadline for registration 22nd / 23rd July 2013 – workshop day / main conference begins 会议网站: http://ucrel.lancs.ac.uk/cl2013/
上个月去意大利开可降解金属国际会议,期间安排了半天旅游去了一个修道院,今天google查了下,居然找不到中文的介绍,想来应该还不在中国游客的经典旅游线路(其实老外去的很多,里面的装饰保留了几百年下来,可见当时的奢华)。所以值得写一下。 维基百科的介绍如下: http://en.wikipedia.org/wiki/Certosa_di_Padula Padula Charterhouse , in Italian Certosa di Padula (or Certosa di San Lorenzo di Padula ), is a large Carthusian monastery, or charterhouse, located in the town of Padula , in the Cilento National Park (near Salerno ) in Southern Italy . It is a World Heritage site . The monastery is the second largest charterhouse in Italy after the one in Pavia . Its building history covers 450 years, but the principal parts of the buildings are in Baroque style. It is a very large monastery, comprising 51,500 m² (12.7 acres), with 320 rooms and halls. 分享下照片(我随便用手机照的,如果要专业的效果,网上一搜就有了) 修道院的正门 殿内的装饰— “几百年前就有 CAR 这个词了” 阳光下的修道院
第六届国际生物地理学会会议(美国迈阿密,2013年1月)参会资助申请,截止时间9月30日。资助力度挺大,请有兴趣的研究生或者博士后抓紧时间提交申请,希望有中国参会者获得资助。请看到的同志转发一下这个消息。 Dear Biogeographers The International Biogeography Society and the Paleontological Society are awarding travel grantsfor students and post-docs (i.e. received the PhD less than two years ago) to attend the 2013 IBS biennial meeting,, 9-13 January 2013 in Miami, Florida. Grants will be awarded to individuals giving oral and poster presentations at the meeting; all applicants must be current members of the International Biogeography Society. The standardized application form for all awards can be downloaded from the conference web site. Applications and supporting materials are due by September 30th, 2012 . Awards include registration, attendance at a workshop, and up to $500 of travel costs. If you or anyone you know of could benefit from these awards, please refer them to http://www.biogeography.org/html/Meetings/2013/travelawards.html for details and contact ibstravelawards@gmail.com with any questions. If you are a student yourself, please note that all applicants must be current members of the International Biogeography Society. If you are not a current member and are otherwise eligible for the awards, you can renew here prior to applying: Click Here to Register This email communication was sent by: International Biogeography Society P.O. Box 476 Charleston, SC 29402
顶级国际会议 方向1:机器学习,模式识别,计算机视觉 1. ICML: International Conference on Machine Learning 解释:IMLS主办,机器学习的顶级会议,一般中稿率只有15%左右,至今没有在国内举办过 2. NIPS: Neural Information Processing Systems 解释:神经计算的顶级会议,没有ICML开放,每年在北美开会,对于北美以外的文章比较难中。 3. COLT:Conference on Learning Theory 解释:ACM主办,计算学习理论方面的最好的会议,需要很深的数学功底,有人说像是“一小撮”数学家在开会 4. ICCV: International Conference on Computer Vision 解释:IEEE主办的会议,两年一次,是计算机视觉领域的顶级会议,由于现在视觉(或图象处理)的工作很多都和人工智能、机器学习相关,因此里面也有很好的机器学习的文章,但注意他们往往都和计算机视觉相关(典型的如生物特征识别,such as 人脸识别)。这个会议05年在北京饭店举办过一次,沈向阳是chair,本人有幸观摩了一下(可惜没有文章,当年大陆中了5篇左右,工大弄了一个还不错)。几乎视觉领域中的leader全部到会。中稿率15%,oral更低 5. CVPR: IEEE Conf on Comp Vision and Pattern Recognition 解释:IEEE主办,与ICCV相似,但模式识别的东西多一些。没有在国内举办过。一般认为比ICCV稍差,中稿率18%,也是非常难的 6. ECCV: European Conference on Computer Vision 解释:应该说是仅次于ICCV的视觉领域的会议,由于视觉领域的一些leader在欧洲,可想而知这个会的档次,中稿率15%左右,我一个师兄中过oral,据说不到10% ICCV, CVPR和ECCV也被称为计算机视觉的三大国际会议,闻名遐迩。 方向2:人工智能,数据挖掘,知识管理 1. IJCAI: International Joint Conference on AI 解释:AI领域最好的综合性会议,两年一次,早期的视觉文章也发表在上面,不过现在做视觉的人已经比较少往上面投文章了,因为已经有了ICCV等会议,工大06年有两篇,所以大家不要妄自菲薄,只要努力还是可以中稿的 2. AAAI: American Association for AI National Conference 解释:也是人工智能领域的一个比较好的会议,但据说不是年年开,而且档次也不断变化,国内对其不是了解 3. ACM SIGKDD: Knowledge Discovery in Database 解释:ACM Sig 系列会议想必大家知道,既然是KDD那就是数据挖掘领域的顶级会议了 4. ACM SIGMOD: ACM SIGMOD Conf on Management of Data 解释:对于数据库领域的研究人员来说,sigmod就是他们心中圣殿,中稿率也非常低 5. ICDE: Intl Conf on Data Engineering 解释:IEEE主办的会议,同样是数据库领域的顶级会议,大家可以看看他的CFP的范围,数据挖掘也在其中了吧,就像TKDE(后面会有介绍)一样,里面已经有大量的机器学习内容 方向3:生物信息学 1. ISMB: Intelligent Systems for Molecular Biology 2. ECCB: European Conference on Computational Biology 3. CSB: Int. Conference on Computational Systems Biology 4. ACM RECOMB: Int. Conference on Research in Computational Molecular Biology 5. ICSB: Int. Conference on Systems Biology 方向4: 网络 SIGCOMM MOBICOM SIGMETRICS INFOCOM ICNP USENIX Internet ============================================================================ 计算机科学的publication最大特点在于:极度重视会议,而期刊则通常只用来做re-publication。大部分期刊文章都是会议论文的扩展版,首发就在期刊上的相对较少。计算机科学方面的会议论文事实上起着比刊物论文更大的作用。大部分会议都是每年一次,偶尔也有隔年一次的。正规的会议论文需要经过2-4个甚至更多个审稿人的双向或单向匿名评审,并且所有被接收的论文会被结集正式出版。 机器学习顶级期刊:JMLR, ML, Trends in ML, IEEE T-NN,人工智能期刊AI 计算机视觉和图像识别 顶级 期刊:IEEE T-PAMI, IJCV, IEEE T-IP 计算机科学 顶级 期刊为 JACM, CACM, IEEE T-C ( JACM重理论,CACM更通俗像magazine) 计算机科学的绝大多数期刊和大部分的“好”会议都规模非常有限。所以,计算机的每个领域都有好几种顶级刊物和好几个顶级会议。 就刊物的质量而言,ACM Transactions系列总体来讲都高于IEEE Transactions系列,不过也不可一概而论。大部分ACM Transactions都是本领域最好的刊物或最好的刊物之一。大部分IEEE Transactions都是本领域很好的刊物,但也有最好的或者一般的。 非ACM/IEEE的刊物中,也有好的甚至最好的。例如,SIAM Journal on Computing被认为是理论方面最好的期刊之一。 大部分ACM的会议都是本领域顶级的或很好的会议。大部分IEEE的会议都是本领域很好的会议,但也有顶级的或者一般的。
8 月 31 日 Keynote 3: Magnesium degradation as seen by artificial neuronal networks (ANN) Regine Willumeit Institute of Materials Research, Helmholtz-Zentrum Geesthacht 考虑到降解的影响非常复杂,采用人造神经网络方法来模拟。输入 9 个参数( protein 、 CO2 、 temperature 、 O2 、 NaCl 、 NaHCO3 、 CaCl2 、 MgSO4 、 Glucose ),形成一个 9 乘以 70 的数据点,通过填入已经测试的纯镁的结果训练神经网络,考虑单变量和多变量 ( 不同个数的组合 ) 的情况,可以看到各个因素对降解的影响程度,以及组合起来的影响。结果认为 CO2 NaCl 是最重要的,中等重要的是蛋白质和温度,氧气是低等重要性的需要进一步研究的是 NaHCO3 、 CaCl2 、 MgSO4 和 Glucose , Buffer 引起复杂非线性效应。 Comparison of the reaction of bone-derived cells to high magnesium concentrations Anna Burmester Helmholtz-Zentrum Geesthacht, Germany 利用不同浓度的 MgCl2 ,与 MG63 、 SaoS2 、 U2OS 细胞系培养 1 周和原代人的 osteoblasts 培养 4 周研究细胞 Proliferation viability cell size, cell shape, gene expression Antimicrobial effects of dual aluminium and oxygen plasma modified biodegradable Mg-Y-Re alloy Kelvin W.K. Yeung The University of Hong Kong, China 在 Mg-Ca 和 Mg-Sr 合金表面利用等离子注入技术分别制备 Zr 和 Zr-O 膜,厚度在几十个纳米,表征薄膜,并研究细胞粘附和抗菌性能。 In vitro and in vivo degradation behaviour and biocompatibility of Mg-Nd-Zn-Zr alloy as orthopaedic applications Guangyin Yuan Shanghai Jiao Tong University, China 骨科用镁合金:强度大于 250MPa ,延伸率大于 10% , 降解在 6 个月以上。 将 JDBM-2 骨钉和骨板植入兔子周取出做了力学测试, C-JDBM 植入 18 周还可以保持 70% 原始强度。 Corrosion Protection and Improved Cytocompatibility of Biodegradable Polymeric Layer-by-Layer Coatings on AZ31 Magnesium Alloys Nicole Ostrowski University of Pittsburgh, USA AZ31 合金表面先形成 Mg(OH)2 转化膜,再阴离子: PEI(intial)PAH(subsequent) 阳离子 PLGA 50:50PLGA75 : 25 和 PCLP 层层自组装膜。 启示:对于表面改性,未来打算观察大于 4 周后薄膜破裂后的细胞毒性。 Characterization of in vivo corrosion by 2D XRF and mass spectrometry techniques Carla Vogt Gottfried Wilhelm Leibniz University Hannover, Germany 利用各种质谱( SIMS 、 micro-XRF 、 PIXE )研究组织切片中的降解产物和剩余物的成分分布信息。 Corrosion And Cytotoxicity Study On Biodegradable Iron Alloys P. Quadbeck Fraunhofer Institute for Manufacturing Technology and Advanced Materials (IFAM), Germany Fe-0.6P 、 Fe-W Fe-B 做成多孔的,希望用于骨科。 8 月 31 日下午 Biocompatibility of fluoride-coated magnesium-calcium alloys with optimized degradation kinetics in a subcutaneous mouse model Matthias Peuster University of Chicago, USA Mg-0.4,0.6,0.8,1.0Ca 合金 JBMR A 2012 的文章 6 个月后 Mg-0.6Ca 降解最快,所有的植入物 6 个月口都形成了纤维包裹。 未来目标是血管支架。 Micro-arc Oxidation of Biodegradable Magnesium Implant: In Vivo Findings of a Rat Study Stefan Franz Fischerauer Medical University of Graz, Austria 采用 ZX50 合金利用鼠模型进行在体研究,有趣的是没有 MAO 处理的 12 周完全降解,而 MAO 处理的由于有裂纹和空洞 8 周就降解完毕。 In vivo inverse-preference corrosion phenomena between Mg2Ca(Zn) and primary Mg phase of Mg-Ca-Zn ternary alloy Jae Young Jung Korea Institute of Science and Technology, Korea 介绍了 Mg-Ca 和 Mg-Ca-Zn 的动物实验,认为里面有三个腐蚀: Mg2Ca preference corrosion, Mg2Caand alfa-Mg corrosion simultaneously, alfa-Mg preference corrosion Craniofacial Magnesium Screws in the Rabbit Mandible Alejandro Almarza University of Pittsburgh, USA 纯镁和 AZ31 做成螺钉植入兔的下颌骨 4,8,12 周,纯镁 4 周降解 8 周比较明显, AZ31 略好。 Open Porous Magnesium-Implants Provide Sufficient Cytotoxicity and Biocompatibility Katharina Bobe Laboratory for Biomechanics and Biomaterials, Hannover Medica, Germany W4(MgY4) 和 WZ21 纤维烧结制成 10-250 微米多孔,孔隙率 50-90% 的多孔结构。 3 毫米之间植入兔子 6 、 12 、 24 周,有骨长入。但初期 general corrosion 过快。
8 月 30 上午 Keynote 2: Investigations of local corrosion processes on biodegradable magnesium alloys using a novel online micro-flow capillary plasma mass spectrometer set-up with electrochemical control Andrea Ulrich EMPA, Switzerland 开发了 Micro-floe-capillary online-coupled to plasma mass spectrometer 的系统,已经在铝合金、锆基非晶、镁合金等研究上有文章,镁合金方面看晶界上的夹杂物对腐蚀的影响,溶液中 Ca2+ 对镁合金溶解的影响( Spectrochimica Acta part B 66(2011)536-545 ) On-line in vivo degradation monitoring system for biodegradable metal implants: system development and in vitro validation Emma P. Córcoles Universiti Teknologi Malaysia, Malaysia 利用半透膜包裹电化学 Biosensor 然后设想可以利用镁离子和 Glycerol+ATP 变成 glycerol-3-phosphate 等系列反应最后引起染料的颜色变化,目前只是设想还没有在动物上试过。 On an improved testing setup for assessing the corrosion behaviour of pure magnesium and its alloys in simulated body fluid Joelle Hofstetter ETH Zurich, Switzerland 样品放置用 teflon 专用的,液面上放置中空塑料球以防止蒸发,通入 CO2 并初步认为 in vitro(CO2-buffered)=in vivo Influence of Dy on the bio-corrosion behaviour of binary Mg-Dy alloys in cell culture medium Lei Yang Helmholtz-Zentrum Geesthacht, Germany Dy 的溶解度和生物相容性比其他稀土要好。 Mg-10Dy 降解速度最慢。 Fretting behaviours of magnesium and its alloys for future orthopedic application Liqun Ruan Peking University, China 介绍了不同载荷下纯镁、镁钙合金和 AZ91 合金在空气和模拟体液中的磨损腐蚀 Degradable behaviour and blood compatibility of surface eroding poly(1,3-trimethylene carbonate) coated biomedical magnesium alloy Juan Wang Southwest Jiaotong University, China ( PTMC )聚三亚甲级碳酸酯降解产物中性、 1200% 延伸率,基体为 MgZnMn 合金 Discussion of degradation pathways of Mg and Mg- alloys - the role of hydrogen evolution and / or the creation Mg-hydride Wolf-Dieter Mueller Charité Unviersitaetsmedizin Berlin, Germany 在 OCP 测试时候会形成 MgH2, 讨论了其对降解的影响。
8 月 29 日上午 Keynote 1: Impurities in the production and processing of magnesium alloys Norbert Hort Helmholtz-Zentrum Geesthacht, Germany 比较传统的一个报告,甚至可以适合所有的镁合金会议的对镁合金制造中的杂质控制。 R D of capillary tube and stent of AZ31 Mg alloy used in vascular intervening fields Zhentao Yu Northwest Institute for Nonferrous Metal Research, China 介绍了西北院在 AZ31 镁合金毛细管的制造方法研究。 Extrusion of ultra-Fine grain size tubes for biodegradable stent application Qiang Ge Politecnico di Milano, Italy 采用 ECAP 制造超细晶镁合金,然后制造毛细管,用于血管支架。打算下一步做纯镁的。 Development of biodegradable bulk metallic glasses with elements of Mg, Ca, Zn and Sr Yufeng Zheng Peking University, China 介绍了可降解镁基、钙基、锌基和锶基非晶合金。 Magnesium silver alloys as multifunctional biodegradable biomaterials Regine Willumeit Helmholtz-Zentrum Geesthacht, Germany 做了 Mg-2Ag 、 Mg-4Ag 、 Mg-6Ag 合金,研究了降解和细胞毒性及抗菌性。 Friction stir processing of magnesium–nanoapatite composites for rapid biomineralization with controlled degradation Ratna Sunil Buradagunta Indian Institute of Technology Madras, India 利用搅拌摩擦焊在镁的熔池中加入纳米羟基磷灰石,很有趣的尝试,只是无法制备大厚度三维均匀的样品 Layer by layer development of natural polymer derived bioactive coatings on magnesium alloys Prashant Kumta University of Pittsburgh, USA 做了多层的天然高分子涂层 Alginate 和 Poly-l-lysine 在 AZ31 合金表面。 Cold-drawn bioabsorbable ferrous and ferrous composite wires: an evaluation of mechanical strength and fatigue durability Jeremy Schaffer Fort Wayne Metals, USA 该公司制备了 75%90%99% 冷变形的纯铁丝, 0.1mm ,另外做了芯部是镁外壳是铁的丝,应该可以去购买。 Novel processing of iron-manganese biometallic alloy by 3D printing Da-Tren Chou University of Pittsburgh, USA 利用铁粉和锰粉在 Exone 三维打印机上打印成骨头状样品,然后烧结处理,研究其生物相容性。 Co-deposition of iron and cobalt using electrolyte-adjusted electroforming method for cardiovascular stent application Agung Purnama Laval University, Canada 利用电沉积制备了 Fe-Co 合金膜,希望用于血管支架。 8 月 29 日下午 PEO coatings of magnesium W4 alloy under in-vivo-in-vitro conditions Amir Eliezer Sami Shamoon College of Engineering, Israel 降解速度可以降低 90% ,在羊上做了动物实验 Dynacast Deutschland GmbHCo. KG, Germany Biomimetic coating of magnesium alloy for enhanced corrosion resistance Charles Sfeir University of Pittsburgh, USA Peptide 仿生涂层 Optimization and degradation simulation of magnesium alloy stent: experimental verification Dario Gastaldi Politecnico di Milano, Italy 发展了唯象降解模型用于支架的有限元分析。 把支架撑开浸泡到 D-Hank 溶液看其 Strut 的断点,与有限元分析到的应力分布对应。 下一步将在降解模型中增加考虑降解产物层,偶极腐蚀和流动腐蚀。 Corrosion behaviour of Zr 61 Ti 2 Cu 25 Al 12 amorphous alloy in artificial saliva and fluoride effect biological environment Ling Ling Shi Chinese Academy of Sciences, China 介绍了 Zr61Ti2Cu25Al12 在模拟唾液中的腐蚀和表面的 XPS 结果。 Characterisation of the corrosion behaviour of the HZG Mg ingot produced for biodegradable medical applications by permanent mould direct chill casting Andrej Atrens The University of Queensland, Australia 高纯镁 Fe 的含量应该小于 5ppm 。 镁降解应该用尽可能多的各种独立的方法。 Evaluation of coatings for Mg alloys for biomedical applications Anna Da Forno Politecnico Milano, Italy 利用 0.009atm 的 CO2 可以维持溶液的 Ph 值。 OSi 可以作为一个有希望的涂层。 Microstructural investigation on extruded Mg10Gd after exposure to fatigue and corrosion Petra Maier University of Applied Sciences Stralsund, Germany 120MPa 循环 , 空气中 2 百万次,腐蚀疲劳寿命 600,000 次
下面是今天刚收到的一封邮件,这是亚洲互联网关于DNSSEC(公网域名安全部署)问题的会议,在香港召开。 国内关于DNSSEC的报道不多,本人这几年曾经写了多篇采访发在《中国网友报(域名经济专版)》上,这项部署在中国还未正式开始,原因是它是由VeriSign公司(管理全球13台根服务器之一A服务器的上市公司,有美国国防部背景)工程师写的,中国担心有后门程序。 这封邮件是最后召集了,有了参会费用介绍: LAST CALL - DNSSEC.ASIA SUMMIT 2012 Seminar (Aug 29) 发件人: "Internet Society Hong Kong" 收件人: shenyang 抄送人: 时 间: 2012年8月27日 (星期一) 00:57 时间: 地点: August 10, 2012 DNSSEC.ASIA SUMMIT 2012 (Aug 29 - 31) DNSSEC.ASIA SUMMIT 2012 Organized by Internet Society Hong Kong, ICANN, NSRC and Cyberport , we proudly present one of the biggest DNSSEC event in Hong Kong. DNSSEC is a security measure that protects Internet users from getting infected with cache poisoning. If an user is impaired, without their knowing their identity and information could be compromised while accessing the Internet. DNSSEC safeguard the Internet by creating a "chain of trust" that requires secret password in order for exchange of information at all levels of the domain. The half day seminar will focus on the various attack vectors through insecure DNS and ways to mitigate them with DNSSEC. Thanks to DNSSEC, it will open doors for future application development which will impact how e·commerce and e·government operates. The 2-day workshop will provide technical hands-on experience and cover key management topics. This workshop is targeted for technical staff/systems and network engineers working for ISP/ASPs, banks, higher education, government agencies, or those already familiar with DNS. Through a mix of presentations and hands-on labs, we'll cover the DNSSEC security extensions in detail, including the differences with insecure DNS and how to sign the zone. SEMINAR Date Wed, August 29, 2012 Time 2pm - 5pm Venue Training Room 1-3, Level 3, Core F, Cyberport 3, Cyberport Road Fee FREE 2 DAY TRAINING Date Thu - Fri, August 30 - 31, 2012 Time 9am - 5pm Venue Day 1: Training Room 1-3, Level 3, Core F, Cyberport 3, Cyberport Road Day 2: Function Room 1, Level 3, Core F, Cyberport 3, Cyberport Road Fee (费用) HKD 2,500 HKD 2,000 for ISOC HK Members Cyberport Tenants Discounted Price (To be an ISOC HK Member, Click Here ) REGISTER: Click here SPEAKERS Richard Lamb DNSSEC Manager, Internet Corporation for Assigned Names and Numbers Rick has over 25 years of Internet experience as engineer, entrepreneur, and policy expert. Currently responsible for DNSSEC efforts at ICANN, Rick was the technical and policy architect for ICANN's root DNSSEC deployment and is a driving force behind DNSSEC's deployment as a cross-organizational, transnational platform for Internet security innovation and opportunity. Prior to this he was Director of Global IT policy at the US Department of State where he worked to bridge technology and policy across a wide range of agencies and issues. Before this he founded a number of small networking startups, the last being acquired by Microsoft. His years in the networking field have included implementation and commercialization of a wide range of communication protocols (UUCP, MEP2, BiSYNC, SDLC, X.25, DECNET, Q.921/931, H.323, IPX, TCP/IP). Rick received a PhD from MIT in 1987. He still misses the art of building radio circuitry which he has been doing since he was 10. Warren Kwok Program Committee Member, Professional Information Security Association Mr Warren Kwok is a member of the Professional Information Security Association (PISA). He is working at the Office of the Communications Authority. He holds the professional qualifications of Chartered Engineer, Microsoft Certified System Engineer and Certified Information Systems Security Professional. With a strong interest in information security and Internet development, he has published several articles in PISA Journal on email honeypot systems, DNS cache poisoning, amplification attacks, IPv6 security and DNSSEC. He has also delivered talks on Internet infrastructure protection, IPv6 security, WiFi security and DNSSEC. TRAINERS Hervey Allen Network System Engineer , Network Startup Resource Center Hervey Allen works for the Network Startup Resource Center (NSRC). Over the past few years Hervey has done extensive organizing, coordinating and teaching in network workshops and tutorials covering topics such as network monitoring and management, Unix system administration, security best practices, DNSSEC, scalable network services, and campus network design. These workshops have been held in over 20 countries around the world and have been part of events such as APRICOT, SANOG, AfNOG, PacNOG, WALC and multiple ccTLD trainings. Before joining the NSRC Hervey graduated from the University of Oregon in Computer Science. He has run and built help desks at Pomona College and the University of Oregon, was a System Engineer with Turbolinux, Inc. and worked with several non-profit organizations building their technical infrastructure. Hervey is a member of several coordinating and planning committees for larger Network Operator Group events, including the Pacific Network Operators Group (PacNOG), South Asian Network Operators Group (SANOG) and the African Network Operators Group (AfNOG). Phil Regnauld Systems Architect Trainer , Network Startup Resource Center Phil Regnauld works for the Network Startup Resource Center (NSRC). On the side, Phil is a partner at bluepipe a/s; a small company doing development, network monitoring and DNS consultancy. At NSRC, Phil is helping with workshop planning, material development, teaching and Direct Engineering Assistance. Phil is currently a member of the AFNIC's Technical Advisory Committee. Since 1997, Phil has been participating in workshops around the world, including INET Workshops, AfNOG, APRICOT, PacNOG, ccTLD trainings, and other events in Asia and Africa. Phil holds a bachelor degree of Computer Science from Université Paris. Before founding bluepipe and joining NSRC, Phil was a system and networks administrator for the Copenhagen Kingdom Hospital. Since then he has designed large DNS and mail platforms for organizations in the Danish private and public sectors (healthcare, pharmaceutical and ISPs). He participates in a number of open forums and advisory committees for TLD administrators. http://www.isoc.hk/
日前,第7届环黄海镁合金国际研讨会 (7th Pan-Yellow Sea Rim International Symposium on Magnesium Alloys and their Applications (YSR7))已经在沈阳正式启动,会议时间:2012年10月14-18日,会议地点:沈阳市,中科院金属研究所。会议官方网址: www.YSR7.org . 会议主要由 中、日、韩及台湾地区相关单位学者就镁合金材料研发、材料结构性能及其表征、制备加工成形技术、表面处理、应用与产业化学术交流与合作等相关方面进行交流讨论。 环黄海国际镁合金系列研讨会由日本熊本大学河村能人教授发起,2006年以来已在日本、韩国、中国和我国台湾召开6次,参加单位主要有日本熊本大学国际镁合金研究中心、九州大学、日本东北大学、日本镁合金协会、韩国仁川工业技术研究所、 Korea Institute of Industrial Technology ( 光州)、 Yonsei University、 浦项集团、中国科学院金属研究所、上海交通大学、台湾大学、台湾镁合金协会等单位学者参加。几年来增进了相互了解和合作,取得了很好的效果。 本次会议将由中科院金属研究所组织,中国大陆方面的中科院金属研究所、上海交通大学、重庆大学材料学院、东北大学、北京大学工学院、山西闻喜银光镁业集团、哈尔滨工业大学材料学院、北京科技大学、北京工业大学、中北大学等单位著名镁合金学者获邀请参加会议做大会主题报告 (Keynote lecture)和邀请报告(Invited lecture)。 会议正在征文,有意者请参看官网进行联系或提交论文摘要。
一. 相关领域顶级国际会议 方向1:机器学习,模式识别,计算机视觉 1. ICML: International Conference on Machine Learning 解释:IMLS主办,机器学习的顶级会议,一般中稿率只有15%左右,至今没有在国内举办过 2. NIPS: Neural Information Processing Systems 解释:神经计算的顶级会议,没有ICML开放,每年在北美开会,对于北美以外的文章比较难中。 3. COLT:Conference on Learning Theory 解释:ACM主办,计算学习理论方面的最好的会议,需要很深的数学功底,有人说像是“一小撮”数学家在开会 4. ICCV: International Conference on Computer Vision 解释:IEEE主办的会议,两年一次,是计算机视觉领域的顶级会议,由于现在视觉(或图象处理)的工作很多都和人工智能、机器学习相关,因此里面也有很好的机器学习的文章,但注意他们往往都和计算机视觉相关(典型的如生物特征识别,such as 人脸识别)。这个会议05年在北京饭店举办过一次,沈向阳是chair,本人有幸观摩了一下(可惜没有文章,当年大陆中了5篇左右,工大弄了一个还不错)。几乎视觉领域中的leader全部到会。中稿率15%,oral更低 5. CVPR: IEEE Conf on Comp Vision and Pattern Recognition 解释:IEEE主办,与ICCV相似,但模式识别的东西多一些。没有在国内举办过。一般认为比ICCV稍差,中稿率18%,也是非常难的 6. ECCV: European Conference on Computer Vision 解释:应该说是仅次于ICCV的视觉领域的会议,由于视觉领域的一些leader在欧洲,可想而知这个会的档次,中稿率15%左右,我一个师兄中过oral,据说不到10% 方向2:人工智能,数据挖掘,知识管理 1. IJCAI: International Joint Conference on AI 解释:AI领域最好的综合性会议,两年一次,早期的视觉文章也发表在上面,不过现在做视觉的人已经比较少往上面投文章了,因为已经有了ICCV等会议,工大06年有两篇,所以大家不要妄自菲薄,只要努力还是可以中稿的 2. AAAI: American Association for AI National Conference 解释:也是人工智能领域的一个比较好的会议,但据说不是年年开,而且档次也不断变化,国内对其不是了解 3. ACM SIGKDD: Knowledge Discovery in Database 解释:ACM Sig 系列会议想必大家知道,既然是KDD那就是数据挖掘领域的顶级会议了 4. ACM SIGMOD: ACM SIGMOD Conf on Management of Data 解释:对于数据库领域的研究人员来说,sigmod就是他们心中圣殿,中稿率也非常低 5. ICDE: Intl Conf on Data Engineering 解释:IEEE主办的会议,同样是数据库领域的顶级会议,大家可以看看他的CFP的范围,数据挖掘也在其中了吧,就像TKDE(后面会有介绍)一样,里面已经有大量的机器学习内容 方向3:生物信息学 1. ISMB: Intelligent Systems for Molecular Biology 2. ECCB: European Conference on Computational Biology 3. CSB: Int. Conference on Computational Systems Biology 4. ACM RECOMB: Int. Conference on Research in Computational Molecular Biology 5. ICSB: Int. Conference on Systems Biology 有关生物信息领域会议的相关介绍,还请各位同学给予补充 二. 相关领域一般会议列表 方向1:模式识别 1. IEEE ICPR: International Conference on Pattern Recognition 解释:国际模式识别联合会主办,中稿率45%左右 2. IAPR ICB: International Conference on Biometrics 解释:生物特征识别,主要是人脸,虹膜等. 07年录用情况:Oral 34,Poster 95. All 303。工大的硕士生就有中oral的,希望大家加油。 方向2:机器学习,神经计算,数据库与数据挖掘,知识管理 1. IEEE IEEE/ACM Transactions on Computational Biology and Bioinformatics (新) 18. ACM KDD: Transactions on Knowledge Discovery from Data (新) 19. RCMS: Rapid Communications in Mass Spectrometry 20. DISCRETE APPL MATH (SCI05:0.585) 国内期刊 1. 计算机学报(中、英文版) 2. Journal of Computer Science and Technology(JCST) 3. 软件学报 4. 电子学报 5. 自动化学报 6. 通讯学报 7. 计算机研究与发展
第三十五届国际环境遥感大会(35thInternationalSymposiumonRemoteSensingofEnvironment)将于2013年4月22日~26日在北京国际会议中心召开。会议由中国科学院对地观测与数字地球科学中心主办,国际环境遥感中心与国际摄影测量与遥感学会协办。这是该会议1962年诞生50年以来首次在中国举办。ISRSE以推动国际环境遥感技术与应用为宗旨,代表着国际环境遥感的发展方向,有较高的知名度和影响力。 本届会议主题为“对地观测与全球环境变化—遥感五十年:成果与展望”,围绕以下十五个专题展开研讨(如图)。 欢迎遥感界及相关研究领域的专家学者与会,提交文章并作学术报告或张贴论文。会议语言为英语,文章摘要及全文为英文,需通过网上提交系统提交,全文格式请查询会议网站。被大会接收的文章作者将被邀请作大会口头报告或张贴论文,优秀文章将发表于EI和SCI检索的刊物上。 文章摘要提交截止日期2012年9月30日;文章接收通知日期2012年12月10日;全文提交截止日期2013年2月15日。 第三十五届国际环境遥感大会技术展览会将于2013年4月22日~26日与大会同期举办,热诚欢迎各信息产业的知名企业参展、赞助会议。 大会秘书处联系方式:邮箱:isrse35@ceode.ac.cn电话:+861082178969传真:+861082178968网站:www.isrse35.org 通信地址:北京市海淀区邓庄南路9号邮编:100094 35th International Symposium on Remote Sensing of Environment (ISRSE) Beijing, 22-26 April 2013 Earth Observation and Global Environmental Change- 50 years of Remote Sensing: Progress and Prospects The 35th International Symposium for Remote Sensing of the Environment (ISRSE35) is to be held in Beijing from 22-26 April, 2013. This is the first symposium in the series to be held in China. The first ISRSE was convened in 1962, and brought together scientists from around the world to exchange technical information on an emerging technology called remote sensing, a technology that provided the capability to view the Earth from high-altitude aircraft and, ultimately, spacecraft. In its 50 years of development, Earth observation has advanced significantly, and remote sensing has become a mature technology for observing the Earth and monitoring global environmental change. In this symposium, we will focus on the theories and applications that make Earth observation a crucial element in the study of phenomena related to global environment change. This symposium will also review the progress of the remote sensing , and prospects for its future development, and celebrate its half-century history. Submissions are open for oral presentations, poster presentations and plenary sessions (by invitation only). Authors are encouraged to submit abstracts under the following themes(shown in the picture): Don't miss out on the opportunity to take part in the ISRSE35 . Please submit your abstract before Sunday, 30 September, 2012 . For detailed information on abstract submission, themes, and the abstract template, please visit our website at : http://www.isrse35.org or contact the symposium organizers: ISRSE35 Secretariat: E-Mail: isrse35@ceode.ac.cn Tel: +86 10 82178969 Fax: +86 10 8217 8968 Address: Center for Earth Observation and Digital Earth, Chinese Academy of Sciences No.9 Dengzhuang South Road, Haidian District, Beijing 100094, P.R. China
Call for Papers SCA2012 - 2012 International Conference on Social Computing and Its Applications, Nov. 1-3, 2012, Xiangtan, China. Website: http://kpnm.hnust.cn/confs/sca2012/ Theme: Social Media, Mining and Analytics Key dates: Submission Deadline: June 30, 2012 Submission site: https://www.easychair.org/conferences/?conf=sca2012 Publication: Proceedings will be published by IEEE CS Press. Special issues: Distinguised papers will be selected for special issues in World Wide Web Journal; The Computer Journal; or Journal of Systems and Software. =========== Introduction Social computing is concerned with the intersection of social behaviour and computing systems, creating or recreating social conventions and social contexts through the use of software and technology. Various social computing applications such as blogs, email, instant messaging, social networking (Facebook, MySpace, Twitter, LinkedIn, etc.), wikis, and social bookmarking have been widely popularised where people interact socially via computing space. Such applications have been profoundly impacting social behaviour and life style of human beings while pushing the boundary of computing technology simultaneously. While people can enjoy or even indulge in the benefits such as freedom and convenience brought about by social computing, various critical issues such as privacy protection, touch-screen based arty HCI design, and modelling of social behaviour in computing space still remain challenging. SCA (Social Computing and its Applications) is created to provide a prime international forum for both researchers, industry practitioners and environment experts to exchange the latest fundamental advances in the state of the art and practice of Social Computing and broadly related areas. Scope and Topics Topics of particular interest include, but are not limited to: * Fundamentals of social computing * Modelling of social behaviour * Social network analysis and mining * Computational models of social simulation * Web 2.0 and semantic web * Innovative HCI and touch-screen models * Modelling of social conventions and social contexts * Social cognition and social intelligence * Social media analytics and intelligence * Group formation and evolution * Security, privacy, trust, risk and cryptography in social contexts * Social system design and architectures * Information retrieval, data mining, artificial intelligence and agent-based technology * Group interaction, collaboration, representation and profiling * Handheld/mobile social computing * Service science and service oriented interaction design * Cultural patterns and representation * Emotional intelligence, opinion representation, influence process * Mobile commerce, handheld commerce and e-markets * Connected e-health in social networks * Social policy and government management * Social blog, micro-blog, public blog, internet forum * Business social software systems * Impact on peoples activities in complex and dynamic environments * Collaborative filtering, mining and prediction * Social computing applications and case studies Submission Guidelines Submissions must include an abstract, keywords, the e-mail address of the corresponding author and should not exceed 8 pages for main conference, including tables and figures in IEEE CS format. The template files for LATEX or WORD can be downloaded here. All paper submissions must represent original and unpublished work. Each submission will be peer reviewed by at least three program committee members. Submission of a paper should be regarded as an undertaking that, should the paper be accepted, at least one of the authors will register for the conference and present the work. Submit your paper(s) in PDF file at the SCA2012 submission site: https://www.easychair.org/conferences/?conf=sca2012. Publications Accepted and presented papers will be included into the IEEE Conference Proceedings published by IEEE CS Press (Indexed by EI). Authors of accepted papers, or at least one of them, are requested to register and present their work at the conference, otherwise their papers may be removed from the digital libraries of IEEE CS and EI after the conference. Distinguished papers presented at the conference, after further revision, will be published in special issues of high quality SCI indexed international journals which are: World Wide Web Journal; The Computer Journal; and Journal of Systems and Software. Honorary General Chairs * Jiawei Han, University of Illinois at Urbana-Champaign, USA * Kyu-Young Whang, Korea Advanced Institute of Science and Technology, Korea General Chairs * Irwin King, the Chinese University of HongKong, Hong Kong * Wolfgan Nejdl, L3S, Germany * Feiyue Wang, Chinese Academy of Sciences, China General Vice Chairs * V.S. Subrahmanian, University of Maryland, USA * Jiming Liu, Hong Kong Baptist University, China * Jinho Kim, Kangwon National University, Korea Program Chairs * Aoying Zhou, East China Normal University, China * Guandong Xu, Victoria University, Australia * Nitin Agarwal, University of Arkansas at Little Rock, USA Program vice-Chairs * Tim Butcher, Royal Melbourne Institute of Technology, Australia * Akiyo Nadamoto, Konan University, Japan * Xiaoqing (Frank) Liu, Missouri University of Science and Technology, USA * Tiejian Luo, Graduate University of the Chinese Academy of Sciences, China Workshop Chairs * Wookey Lee, Inha University, Korea * Xiangfeng Luo, Shanghai University, China Steering Committee * V.S. Subrahmanian, University of Maryland, USA * Irwin King, The Chinese University of Hongkong, China * Igor Hawryszkiewycz, University of Technology, Sydney, Australia * Jinjun Chen, University of Technology, Sydney, Australia (Chair) * Feiyue Wang, Chinese Academia of Science, China * Wesley Chu, University of California, USA * Shaun Lawson, University of Lincoln, UK * Jianhua Ma, Hosei University, Japan * John Yen, Pennsylvania State University, USA * Jiming Liu, Hong Kong Baptist University, China * Adrian David Cheok, National University of Singapore, Singapore * Craig Standing, Edith Cowan University, Australia * Laurence T. Yang, St Francis Xavier University, Canada (Chair) Publicity Chairs * Ting Liu, Harbin Institute of Technology, China * Zongda Wu, University of Science Technology of China, China Publication Chairs * Jinjun Chen, University of Technology, Sydney, Australia * Jianxun Liu, Hunan University of Science and Technology, China
Call for Papers CGC 2012 ,2012 International Conference on Cloud and Green Computing 1-3 Nov. 2012, Xiangtan, China. Website: http://kpnm.hnust.cn/confs/cgc2012/ Key dates: Submission Deadline: June 30, 2012 Submission site: https://www.easychair.org/conferences/?conf=cgc2012 Publication: Proceedings will be published by IEEE CS Press. Special issues: Distinguised papers will be selected for special issues in Concurrency and Computation: Practice and Experience; Future Generation Computer Systems; or International Journal of High Performance Computing Applications. =========== Introduction Cloud computing is positioning itself as a new emerging platform for delivering information infrastructures and resources as IT services. Customers (enterprises or individuals) can then provision and deploy these services in a pay-as-you-go fashion and in a convenient way while saving huge capital investment in their own IT infrastructures. It has evoked a high degree of interest internationally with many challenges such as security and privacy remaining open. Green computing, in general, aims to enable computing and IT infrastructures to be energy efficient and environmentally friendly. With dramatically increasing demand on computing and storage systems, IT infrastructures have been scaled tremendously which results in huge amount of energy consumption, heat dissemination, greenhouse emission and even part of climate change. As such, green computing has come to the picture seeking solutions for computing and IT infrastructures to be energy efficient and environmentally friendly. While customers can enjoy green atmosphere as well as cost saving and convenience because cloud computing accommodates their IT infrastructures in the cloud, how to green the cloud becomes increasingly challenging and extremely important in terms of global energy efficiency and environmental sustainability. CGC (Cloud and Green Computing) is created to provide a prime international forum for both researchers, industry practitioners and environment experts to exchange the latest fundamental advances in the state of the art and practice of Cloud computing and Green computing as well as joint-venture and synergic research and development across both areas. Scope and Topics Topics of particular interest include, but are not limited to: * Fundamentals of cloud computing * Architectural cloud models * Programming cloud models * Provisioning/pricing cloud models * Volumn, Velocity and Variety of Big Data on Cloud * Resource scheduling and SLA for Big Data on Cloud * Storage and computation management of Big Data on Cloud * Large-scale scientific workflow in support of Big Data processing on Cloud * Big Data mining and analytics * Multiple source data processing and integration on Cloud * Visualisation of Big Data on Cloud * MapReduce for Big Data processing * Distributed file storage of Big Data on Cloud * Data storage and computation in cloud computing * Resource and large-scale job scheduling in cloud computing * Security, privacy, trust, risk in cloud computing * Fault tolerance and reliability in cloud computing * Access control to cloud computing * Resource virtualisation * Monitoring and auditing in cloud * Scalable and elastic cloud services * Social computing and impacts on the cloud * Innovative HCI and touch-screen models and technologies to cloud * Mobile commerce, handheld commerce and e-markets on cloud * Intelligent/agent-based cloud computing * Migration of business applications to cloud * Cloud use case studies * Fundamentals of green computing * Energy aware software, hardware and middleware * Energy efficient IT architecture * Energy efficient resource scheduling and optimisation * Energy efficient clustering and computing * Large-scale energy aware data storage and computation * Energy aware control, monitoring and HCI design * Energy efficient networking and operation * Energy efficient design of VLSI and micro-architecture * Intelligent energy management * Green data centers * Energy aware resource usage and consumption * Smart power grid and virtual power stations * Energy policy, social behaviour and government management * Teleworking, tele-conferences and virtual meeting * Low power electronics and energy recycling * Green computing case studies * Energy efficient Internet of Things * Energy efficient cloud architecture * Energy aware data storage and computation in cloud computing * Energy aware scheduling, monitoring, auditing in cloud * Case studies of green cloud computing. Submission Guidelines Submissions must include an abstract, keywords, the e-mail address of the corresponding author and should not exceed 8 pages for main conference, including tables and figures in IEEE CS format. The template files for LATEX or WORD can be downloaded here. All paper submissions must represent original and unpublished work. Each submission will be peer reviewed by at least three program committee members. Submission of a paper should be regarded as an undertaking that, should the paper be accepted, at least one of the authors will register for the conference and present the work. Submit your paper(s) in PDF file at the CGC2012 submission site: https://www.easychair.org/conferences/?conf=cgc2012. Authors of accepted papers, or at least one of them, are requested to register and present their work at the conference, otherwise their papers may be removed from the digital libraries of IEEE CS and EI after the conference. Publications Accepted and presented papers will be included into the IEEE Conference Proceedings published by IEEE CS Press (pending). Authors of accepted papers, or at least one of them, are requested to register and present their work at the conference, otherwise their papers may be removed from the digital libraries of IEEE CS and EI after the conference. Distinguished papers presented at the conference, after further revision, will be published in special issues of Concurrency and Computation: Practice and Experience; Future Generation Computer Systems; or International Journal of High Performance Computing Applications. Honorary Chairs Ramamohanarao Kotagiri, The University of Melbourne, Australia Jack Dongarra, University of Tennessee, USA Deyi Li, Chinese Academy of Engineering, China General Chairs Ivan Stojmenovic, University of Ottawa, Canada Albert Zomaya, The University of Sydney, Australia Hai Jin, Huazhong University of Science and Technology, China General Vice-Chairs Geoffrey Fox, Indiana University, USA Schahram Dustdar, Vienna University of Technology, Austria Laurence Yang, St Francis Xavier University, Canada Program Chairs Jinjun Chen, University of Technology, Sydney, Australia Peter Brezany, University of Vienna , Austria Jianxun Liu, Hunan University of Science and Technology, China Program Vice-Chairs Ivona Brandic,Vienna University of Technology, Austria, Yang Yu, Sun Yat-Sen University, China Ching-Hsien (Robert) Hsu, Chung Hua University, Taiwan Workshop Chairs Zizhong (Jeffrey) Chen, Colorado School of Mines, USA Rajiv Ranjan, The University of New South Wales, Australia Wangchun Dou, Nanjing University, China Steering Committee Mohammed Atiquzzaman, University of Oklahoma, USA Rajkumar Buyya The University of Melbourne, Australia Jinjun Chen, University of Technology, Sydney, Australia (Chair) Jack Dongarra, University of Tennessee, USA Schahram Dustdar, Vienna University of Technology, Austria Geoffrey Fox, Indiana University, USA Hai Jin, Huazhong University of Science and Technology, China Andrzej Goscinski, Deakin University, Australia Anthony D. Joseph, UC Berkeley, USA Jordi Torres, Technical University of Catalonia, Spain Manish Parashar, Rutgers University, USA Laurent Lefevre, INRIA, France Ivan Stojmenovic, University of Ottawa, Canada Laurence T. Yang, St Francis Xavier University, Canada (Chair) Award Chairs Guojun Wang, Central South University, China Panel Chairs Jinjun Chen, University of Technology, Sydney, Australia Jian Cao, Shanghai Jiao Tong Universtiy, China
整理了一下WWW2012上有关社会网络的论文,主要是看文章名字和作者挑出来的,还没有细看,可能有一些是无关的,还有一些遗珠,不过足够看上一阵子了。 Actions speak as loud as words: Predicting relationships from social behavior data Sibel Adali, Fred Sisenda and Malik Magdon-Ismail Analyzing Spammers’ Social Networks For Fun and Profit — A Case Study of Cyber Criminal Ecosystem on Twitter Chao Yang, Robert Harkreader, Jialong Zhang, Suengwon Shin and Guofei Gu Bimodal Invitation-Navigation Fair Bets Model for Authority Identification in a Social Network Suratna Budalakoti and Ron Bekkerman Branded with a Scarlet ? C ?: Cheaters in a Gaming Social Network Jeremy Blackburn, Ramanuja Simha, Nicolas Kourtellis, Xiang Zuo, Matei Ripeanu, John Skvoretz and Adriana Iamnitchi Human Wayfinding in Information Networks Robert West and Jure Leskovec Implementing Optimal Outcomes in Social Computing: A Game-Theoretic Approach Arpita Ghosh and Patrick Hummel Information Transfer in Social Media Greg Ver Steeg and Aram Galstyan New Objective Functions for Social Collaborative Filtering Joseph Noel, Scott Sanner, Khoi-Nguyen Tran, Peter Christen, Lexing Xie, Edwin Bonilla and Ehsan Abbasnejad Online Team Formation in Social Networks Aris Anagnostopoulos, Luca Becchetti, Carlos Castillo, Aristides Gionis and Stefano Leonardi Partitioned Multi-Indexing: Algorithms, Analysis, and Applications to Social Search Bahman Bahmani and Ashish Goel Recommendations to Boost Content Spread in Social Networks Sayan Ranu, Vineet Chaoji, Rajeev Rastogi and Rushi Bhatt The Role of Social Networks in Information Diffusion Eytan Bakshy, Itamar Rosenn, Cameron Marlow and Lada Adamic Understanding and Combating Link Farming in the Twitter Social Network Saptarshi Ghosh, Bimal Viswanath, Farshad Kooti, Naveen Kumar Sharma, Korlam Gautam, Fabricio Benevenuto, Niloy Ganguly and Krishna Gummadi Using Content and Interactions for Discovering Communities in Social Networks Mrinmaya Sachan, Danish Contractor, Tanveer Faruquie and L. V. Subramaniam An Exploration of Improving Collaborative Recommender Systems via User-Item Subgroups Bin Xu, Jiajun Bu, Chun Chen and Deng Cai Community Detection in Incomplete Information Networks Wangqun Lin, Xiangnan Kong, Philip Yu, Quanyuan Wu, Yan Jia and Chuan Li Crosslingual Knowledge Linking Across Wiki Knowledge Bases Zhichun Wang, Juanzi Li, Zhigang Wang and Jie Tang Discovering Geographical Topics from Twitter Streams Liangjie Hong, Amr Ahmed, Siva Gurumurthy, Alex Smola and Kostas Tsioutsiouliklis Document Hierarchies from Text and Links Qirong Ho, Jacob Eisenstein and Eric Xing Dynamical Classes of Collective Attention in Twitter Janette Lehmann, Bruno Gon?alves, José Ramasco and Ciro Cattuto Factorizing YAGO: Scalable Machine Learning for Linked Data Maximilian Nickel and Volker Tresp Learning and Predicting Behavioral Dynamics on the Web Kira Radinsky, Krysta Svore, Susan Dumais, Jaime Teevan, Eric Horvitz and Alex Bocharov Vertex Collocation Profiles: Subgraph Counting for Link Analysis and Prediction Ryan N.Lichtenwalter and Nitesh V. Chawla We Know What @You #Tag: Does the Dual Role Affect Hashtag Adoption? Lei Yang, Tao Sun and Qiaozhu Mei
1. SCA2012 - The 2nd International Conference on Social Computing and Its Applications, Nov. 1-3, 2012, Xiangtan, Hunan, China. http://kpnm.hnust.cn/confs/sca2012/ 2. CGC 2012 - The 2nd International Conference on Cloud and Green Computing,1-3 Nov. 2012, Xiangtan, Hunan, China. http://kpnm.hnust.cn/confs/cgc2012/ 征稿截止时间为7月13日,优秀论文将推荐到领域重要SCI期刊发表。尽管近年来国际学术会议有点泛滥,但我认为这两个会议是值得领域研究人员参加的,从程序委员会构成和Keynote演讲者的身份可见一般。
大会网址: http://www.isht8.net/ 主办单位:清华大学热能工程系工程热物理研究所 会议语言:英文 会议主席为 王补宣 院士。 重要日期 May 20, 2012 Abstract submission due May 30, 2012 Abstract acceptance notification June 30, 2012 Registration/Reply form due August 30, 2012 Full paper submission due September 15, 2012 Paper acceptance notification September 30, 2012 Final paper submission due 英文版简讯详见我的 Google Blogger
The ENGE is a conference in Korea dedicated on electronic materials. The first ENGE was ENGE 2010, where more than 500 research works were presented. The international conference is planned to be held every other year and we are expecting more than 1,000 contributions at the ENGE 2012. As you may know, Korea possesses many outstanding industries for electronic materials but the educational system in Korea is such that there is no department of universities specialized for electronic materials. The ENGE conference was initiated from the necessity of a communication ground for exchange of the academic and industrial findings on electronic materials from various researchers, regardless of their academic backgrounds. At the ENGE conference, physicist, chemist, metallurgist, ceramist, all are welcome as far as the contribution is related to four main academic categories such as Energy, Display, Nano and Semiconductor Magnetism. These main four categories are divided into detailed sessions as shown in the home page (www.enge2012.org), where each session is assigned to accommodate presentations of the latest and important findings in the field of electronic materials. We have invited distinguished speakers to give quality lectures and presentations, thereby stimulating exchange of ideas. I am sure that the ENGE 2012 will be a nice place to meet friends, share invaluable information, and initiate cooperation for mutual benefit. Jeju Island is famous for its natural beauty, mouthwatering food, and gentle climate. I hope that you will enjoy encounters with local people here and sharing the unique culture through various leisure activities during the conference. I have no doubt that the ENGE 2012 shall be an exciting and memorable experience for you in every aspect. Organizing Committee General Chair: Seung-Ki Joo (Seoul National University) Vice General Chair: Young-Ho Kim (Hanyang University) General Secretary: Heon Lee (Korea University) Symposium Chair: Kyung-Ho Shin (KIST) Publication Chair: Byungwoo Park (Seoul National University) Local Chair: Dae-Hong Ko (Yonsei University) Treasurer: Jang-Sik Lee (Kookmin University) Domestic Affair Advisory Committee: Byung-ki Cheong (KIST), Jin Ho Ahn (Hanyang University) Program Committee Program Chair: Ki-Bum Kim (Seoul Nat’l Univ.) 1. Energy 2. Display Program Organizer: Myeong-Kyu Lee (Yonsei Univ.) Program Organizer: Jang-Joo Kim (Seoul Nat’l Univ.) A. Devices and Materials for Energy Harvesting and Storage Sang-Yoon Chung (Inha Univ.) Kisuk Kang (Seoul Nat’l Univ.) Shad Roundy (Ateml) B. Novel Fuel-Cell Ji-Won Son (KIST) Jung Hoon Joo (Kunsan Nat’l Univ.) Pei-Chen Su (Nat’l Taiwan Univ.) C. Lithium and Advanced Secondary Battery Materials Woo Young Yoon (Korea Univ.) D. Photovoltaic Engineering Sun Yong Lee (Hanyang Univ.) Nam-Gyu Park (Sungkyunkwan Univ.) Masakazu Sugiyama (The Univ. of Tokyo) Hiroshi Imahori (Kyoto Univ.) Jin Zhai (Beijing Univ. of Aeronautics Astronautics) E. Thermoelectric Power Devices Wooyoung Lee (Yonsei Univ.) Renkun Chen (UC San Diego) A. Flexible Displays and Printed Electronics Jang Yeon Kwon (Yonsei Univ.) Yong-Young Noh (Hanbat Nat’l Univ.) Kiyohi Yase (AIST) Kazuhiro Kudo (Chiba Univ.) B. LED Materials and Devices Seong-Ju Park (GIST) Jong-Lam Lee (POSTECH) Tae-Yeon Seong (Korea Univ.) Chin-Chung Yang (Nat’l Taiwan Univ.) Rong Zhang (Nanjing Univ.) S.F. Chichibu (Tohoku Univ.) C. OLED Materials and Devices Jang Hyuk Kwon (Kyung Hee Univ.) Tae-Woo Lee (POSTECH) Shizuo Tokito (Yamagata Univ.) D. TFT Materials and Devices Min Sun Kim (KETI) Chung Keun Song (Dong-A Univ.) 3. Nano Engineering 4. Electronic Materials and Processing Program Organizer: Ji-Beom Yoo (Sungkyunkwan Univ.) Program Organizer: Sang-Im Yoo (Seoul Nat’l Univ.) A. Nano Dot, Nano Wire and Nano Processes Woong Kim (Korea Univ.) Jae Jong Lee (KIMM) Guozhen Shen(Huazhong Univ. of Science Technology) B. Nano Patterning and Self-assembly Seok Woo Jeon (KAIST) T. S. Yoon (Myoungji Univ.) Shinji Matsui (Univ. of Hyogo) Grace Ho (Nat’l Univ. of Kaoshsiung) C. Carbon Nano Materials Naeung Lee (Sungkyunkwan Univ.) Raj Jammy (SEMATECH) D. Nano Devices and Structures Nong-Moon Hwang (Seoul Nat’l Univ.) Youn Sang Kim (Seoul Nat’l Univ.) Barbaros Ozyilmaz (Nat’l Univ. of Singapore) A. Non-Volatile Memory Materials and Processes Sang-Koog Kim (Seoul Nat’l Univ.) Hyungjun Kim (Yonsei Univ.) Yukiharu Uraoka (Nara Institute of Science and Technology) B. Advanced Packaging and Interconnection Young-Bae Park (Andong Nat’l Univ.) Soo-Hyung Kim (Yeungnam Univ.) Hajime Tomokage (Fukuoka Univ.) Yutaka Tsukada (i-PACS) Jun-ichi Koike (Tohoku Univ.) Chee Lip Gan (Nanyang Technological Univ.) C. Sensor Joonyeon Chang (KIST) Deok-Kee Kim (Sejong Univ.) D. Oxide Semiconductor Sang Yeol Lee (Cheongju Univ.) CheolGi Kim (Chungnam Nat’l Univ.) Toshio Kamiya (Tokyo Institute of Technology) E. Magnetism and Magnetic Materials Pyung Woo Jang (Cheongju Univ.) Young Keun Kim (Korea Univ.)
Dear colleagues, We would like to remind you that the STRICT DEADLINE for ABSTRACT SUBMISSION for the 4th Symposium on Biodegradable Metals is in two weeks: Tuesday May 15th, 2012. Please register at http://www.biodegradablemetals.org/ For those requiring a VISA letter, we strongly suggest you to REGISTER AND PAY the registration fees as soon as possible; the VISA application procedure may take several weeks. You can now consult the preliminary program of Tuesday, August 28th, 2012, at the following address http://www.biodegradablemetals.org/ under the Preleminary Program section. Please feel free to contact us for any question. Best regards, -- Secretariat - 4th Symposium on Biodegradable Metals 580 Grande Allee Est, suite 140 Quebec, Quebec, G1R 2K2 Phone: 418-522-8182 1-800-618-8182 (Toll free Canada and United States) Fax: 418-529-7548 1-800-889-1126 (Toll free Canada and United States) Email: conference@hospitalite.com http://www.biodegradablemetals.org/
压缩传感理论与应用国际会议 会 议 通 知 (第二次) 压缩传感理论(Compressive Sensing (CS))是由D. Donoho、E. Candes及T. Tao等人几年前提出的一种新的信息获取理论。该理论不仅推动了基础科学研究的发展,而且广泛应用于信息论、信号/图像处理、量子信息、非线性动力学、雷达、医疗成像等领域。同时这些理论的发展产生了许多有趣的数据获取方法,并且产生了应用于多学科研究领域的实际装置,例如:数字相机、x-射线CT、磁共振成像MRI、光声成像等。 近年来,中国许多学者也致力于CS的研究,特别是在应用方面取得了比较大的进展。为了推进CS在中国的应用,进一步促进这方面的学术交流与研讨,南开大学天津大学刘徽应用数学中心将于2012年6月9日(星期六)-12日(星期二)在天津大学举办“压缩传感理论与应用国际研讨会”。 本次研讨会旨在为国内外专家学者搭建一个交流的平台,会议内容涵盖CS理论及应用研究的各个方向,包括CS延伸模型(包括矩阵极小秩问题、张量极小秩问题)的理论、方法与应用,重点对有关CS及延伸模型的应用技术发展与创新进行探讨。本次研讨会将邀请国际上该领域著名专家学者做大会报告(60分钟)、专题报告(40分钟),同时也有若干分组报告(20分钟)和报告张贴。欢迎国内外各高校、研究所、医疗(CT)部门、信息、图像处理领域等有兴趣的学者、师生、以及工程技术人员参加。 由于争取到了一定的经费支持,本次研讨会注册费商定为500元人民币,研究生免注册费,统一安排就餐,住宿费自理,大会将对个别申请者提供适当方式补助。 报到时间: 2012年6月8日(星期五) 会议时间: 2012年6月9日(星期六)-12日(星期二) 会议地点: 天津大学 会议报告语言: 大会报告和专题报告语言为英语,分组报告建议使用英语 摘要格式: 字数500字以内,要求使用12号Times New Roman字体,以PDF文件形式发送至邮箱 tjuliuhui@tju.edu.cn 。 会议投稿: 本次大会只接受英文稿件, 经学术委员会审稿通过的稿件将全部推荐到WSEAS Transaction on Mathematics(EI检索)和《电子科学学刊》(英文版)期刊正刊发表。如需要发表论文,请在投稿截止日期前将排版好的论文正文发送至邮箱 tjuliuhui@tju.edu.cn 论文格式: 具体论文模板请参考各期刊网站。WSEAS Transaction on Mathematics的网址: http://www.worldses.org/journals/mathematics/index.html 。电子科学学刊(英文版)》的网址 http://je.ie.ac.cn ,投稿须知的网址为 http://je.ie.ac.cn/EN/column/item113.shtml 。 提交论文/摘要截至日期 :2012年4月25日 会议回执截至日期 :2012年4月25日 会议组织者 :葛墨林院士(南开大学) 陈光红教授(University of Wisconsin-Madison,USA) 荣喜民教授(天津大学) 会议组织单位 :南开大学天津大学刘徽应用数学中心 天津大学理学院 会议网址 : http://www2.tju.edu.cn/colleges/science/hy2/english/hy12.htm 联系人 :荣喜民: tjuliuhui@tju.edu.cn 刘晓聪: tjuliuxiaocong@163.com 赵 慧: zhaohui_tju@hotmail.com 关 静: guanjing@tju.edu.cn. 杨月吉 : tjuyuejiyang@163.com 联系电话 : 022-27402394 已接受本次大会邀请作报告的学者: 大会报告人 Guanghong Chen, Professor of Medical Physics and Director of X-ray CT Imaging Center, University of Wisconsin-Madison, USA Xiaojun Chen, Professor of Department of Applied Mathematics, Hong Kong Polytechnic University, Hong Kong David Gross, Professor of Physics, University of Freiburg, Germany Yicheng Lai, Professor of Physics and Applied Mathematics, University of Arizona, Tucson, USA Michael Lustig, Assistant Professor of Electrical Engineering and Computer Science, University of California-Berkeley, USA Defeng Sun, Professor of Department of Mathematics, National University of Singapore, Singapore 徐宗本院士,中国西安交通大学数学与统计学院教授,西安交通大学副校长。 Yinyu Ye, Professor of Department of Management Science and Engineering, Stanford University, USA Yin Zhang, Professor of Department of Computational and Applied Mathematics, Rice University, USA 专题报告人 何炳生教授,南京大学数学系 黄正海教授,天津大学理学院数学系系主任 石光明教授,西安科技大学电子工程学院副院长 孙文昌教授,南开大学数学科学学院 吴仁彪教授,中国民航大学电子信息工程学院院长 Xiaoming Yuan, Assistant Professor of Department of Mathematics, Hong Kong Baptist University 其他报告人正在落实。 附件1:压缩传感理论与应用国际研讨会会议通知及回执单
会议网址为: http://www.rocdyn.org/ 会议论文:Rock Dynamics and Applications http://www.crcnetbase.com/isbn/9781315887067 RockDyn-1 EPFL B1-M3.pdf Invitation T he First International Conference on Rock Dynamics and Applications (RocDyn-1) is a specialised conference devoting to the discussion on rock dynamics and engineering applications. As the first in the planned RocDyn conference series, RocDyn-1 is to summarise the state-of-the-art of rock dynamics research and its engineering applications, by highlighting the current scientific research activities and engineering application challenges. The technical presentations will cover all the aspects related to rock dynamics and engineering applications. In 2008, the Commission on Rock Dynamics (CRD) was set up within the International Society for Rock Mechanics (ISRM). One of the aims of the Commission is to share and exchange knowledge in rock dynamics research and engineering applications. In 2009, ISRM-CRD organised its first workshop in Lausanne, followed by subsequent workshops in Wuhan in 2010 and Beijing in 2011. The ISRM-CRD was renewed for the period of 2011-2015. RocDyn-1 welcomes the participation of the scientists and the engineers involving in rock dynamic research and engineering practice to share, to cooperate, and to progress together. RocDyn-1 Organize Committee
Dear colleague, We are happy to introduce and invite you to the 4th Symposium on Biodegradable Metals, which will be held once again in Maratea, Italy, from August 27th to September 1st, 2012. The website being now up-to-date, the new deadlines and registration prices are now available, as well as the information about Abstracts Submission: http://www.biodegradablemetals.org/ Please take note of the following important dates: - March 31st, 2012: Submission for Scientists/Industrials/Students from countries requiring a VISA (Strongly suggested!) - May 15th, 2012: General Abstracts Submission - Strict Deadline! - June 30th, 2012: Deadline for Registration at Earlybird Rate
第三届网络与分布式计算国际会议( ICNDC2012 ) 2012.10.21—2012.10.24, 中国 , 杭州 论文征集 全文投稿截止日期: 2012 年 5 月 1 日 论文录用通知日期: 2012 年 6 月 1 日 交修订版截止日期: 2012 年 6 月 20 日 大会主席: 黄理灿 浙江理工大学 黎建辉 中国科学院 曹军威 清华大学 郭毅可 英国帝国理工大学 刘元安 北京邮电大学 David W. Walker 英国卡迪夫大学 【会务组联系方式】 联系人: 闫志文、刘振、李雪利、刘飘悦、李志龙 电话 / 传真: + 86 - 0571-86843267 E-mail: icndc2012@inetdc.org 通讯地址: 中国浙江理工大学 邮政编码: 310018 会议网 址 : http://www.inetdc.org/meeting/icndc2012/ 国际委员会: Mark Baker (University of Reading, UK) John Brooke (University of Manchester, UK) Rajkumar Buyya (University of Melbourne, Australia) Wentong Cai (Nanyang Technological University, Singapore) Jie Cao (Nanjing University of Information ScienceTechnology, China) Gang Chen (Chinese Academy of Science, China) Kang Chen(Tsinghua University, China) Giuseppe Ciaccio (Universita' diGenova, Italy) Philippe Cudre-Mauroux(Massachusetts Institute of Technology, USA) Jiazhu Dai (Shanghai University, China) Yong Fang (Chinese Academy of Sciences, China) Zaiwen Feng(Wuhan University, China) Haiwu He (INRIA, France) Shaoyi He(California State University at San Marcos, USA) Jinzhu Gao ( University of Pacific, USA) Weidong Geng ( Zhejiang University,China) Jinyuan Jia (TongjiUniversity, China) Keyuan Jiang (Purdue calmet University, USA) Gang Kou (University of Electronic Science and Technology of China, China ) Jianping Li (Chinese Academy of Sciences, China) Shijian Li (Zhejiang University, China) Wei Li( Chinese Academy of Sciences , China ) Xiaolin (Andy) Li (Oklahoma State University, USA) Liu Hong( Chinese Academy of Sciences , China ) Willie W. Lu ( Chairman, USCWC , USA ) Kai Nan (Chinese Academy of Sciences, China) Daowu Pei (ZhejiangSci-TechUniversity) P.I. Poromarenko (National Mining University of Ukraine, Ukraine) Omer F. Rana (Cardiff University, UK) Zhen Shen( Chinese Academy of Sciences , China ) Yingwen Song (National Institute of Advanced Industrial Science and Technology ,Japan) Wei Tan ( Staff Researcher, IBM T. J. Watson ) Cho-Li Wang (Univ. of Hong Kong, Hong Kong) Hecheng Wang(Hangzhou Diazhi University, China) Jue Wang (Chinese Academy of Sciences, China) Xiaodong Wang (STFC, DaresburyLaboratory, UK) Yaming Wang ( Zhejiang Sci-Tech University,China) Fenghua Wen (Changsha University of Science and Technology, China) Suhong Yang (Hangzhou Diazhi University, China) Zhiwen Yu( Northwestern Polytechnical University, China) Hehua Zhang(Tsinghua university, China) Yunhua Zhang ( Zhejiang Sci-Tech University, China) Zhiming Zhao (University of Amsterdam, Netherlands) Chengxiong Zhou (Chinese Academy of Sciences, China) Ligang Zhou (City University of Hong Kong, Hong Kong) Jinlou Zhao (Harbin Engineering University, China) 会议基本信息: 网络与分布式技术是当前乃至未来的 IT 技术的重要组成部分。当下一代网络成为现实,移动通信系统将来发展到 3G 甚至 4G 时代时,将会出现传统软件向网络应用转变的趋势。为了便于工业界和学术界共同研讨网络与分布式计算的热点话题和发展趋势,我们将于 2012 年 10 月 21 日至 24 日在中国杭州举办第三届网络与分布式计算国际会议。会议重点( 1 )分布式计算和分布式系统方面,包括集群和网格,服务组合和业务流程,点对点对等系统,云计算等( 2 )网络方面,包括 IP 网络,下一代互联网,无线网络, 4G 移动通信等( 3 )分布式应用系统方面,包括分布式电子商务应用,分布式 e-Science 应用,管理应用系统等。 征文范围及要求: 一征文范围 包括分布式计算和布式系统,网络和分布式应用三个分支。 1 . 分布式计算和分布式系统: 集群和网格运算,协同计算,分布式数据存储,服务组合,分布式多媒体系统,点对点对等系统,云计算,分布式资源管理,多代理系统,中间件技术,服务虚拟化,并行与分布式处理,面向服务的构架,面向服务的计算,复杂的分布式系统,面向服务的软件和系统工程,语义网络,社会网络,传感器网。 2 . 网络:主动 / 可编程网络,移动 / 无线网络仿真,自适应网络 , Ad hoc 无线网络,分布式网络管理,无线局域网,城域网,广域网 , 3G 和智能网络,互联网络架构,多媒体网络,无线多播,传感器网络,网络隐私和安全,无线网络管理,网络服务质量和性能评价,无线协议和架构,下一代网络,新型网络架构和协议,按需网络。 3 . 分布式应用程序:业务流程整合,业务流程管理,企业资源规划,企业流程管理,协同电子商务,企业联合会,企业集成,全球企业,虚拟 / 网络企业,供应链合作,电子物流,电子商务 , B2B, B2C, C2C 模式,电子银行,电子商务,移动商务,商务数据挖掘,自适应业务,按需电子商务。 二征文要求 1. 论文须未在国内外公开发行的刊物发表。 2. 所有论文必须是英文文稿,全文不能超过五页,投稿稿件请用 Word 或 Pdf 格式排版。论文递交的文本格式:请参照 http://www.inetdc.org/meeting/icndc2012/ ( page submission )。 3. 如果论文一经录用,所录用的论文将会被 CPS 出版,将送 EI 和 ISTP 收录引用。 最好的 50 篇论文将修改后推荐到 EI 期刊发表。 4. 所有论文文稿应提交以下电子提交系统: http://www.easychair.org/conferences/?conf=icndc2012 5. 如有任何疑问请联系 icndc2012@inetdc.org 大会主席简介: Prof. Lican Huang was graduated from Nanchang University in 1982 for B.Sc, from Hangzhou University in 1984 for M.Sc, and from Zhejiang University in 2003 for Ph.D. He has developed many large software systems in several companies, as technical leader or department manager. From 2004, he was a Senior Research Associate in Cardiff University researching at the project Workflow Optimisation for e-Science Applications(WOSE). Since 2006, he is a Director of Network Distributed Computing at Zhejiang Sci-Tech University , and guest professor at CAS and Beijing University of Posts and telecommunications. He was collected in Marquis Who’sWho in the World 2006, Marquis Who’sWho in the Science and Enginnering 2006-2007, and Marquis Who’sWho in Asia 2006-2007 due to his achievement of proposing Virtual and Dynamic Hierarchical Architecture for e-Science and Grid and VIRGO protocols. 黄理灿,男,汉族, 1962 年 1 月出生,江西乐平人,博士,教授,浙江理工大学网络与分布式计算研究所所长, International Conference on Networking and Distributed Computing(ICNDC) 网络与分布式计算国际会议主席。一直从事网络与分布式计算研究。自 2000 年开始,一直涉及 e-Science 和网格计算的研究。 发表论文 100 余篇, Sci/Ei 检索 70 余篇。担任多个项目的主持人。为多个会议主席以及多个程序委员会成员;为多个国际杂志编委。在国际上首次提出了一种新型的 P2P 网络 —— 语义 P2P 网络 --VIRGO 。 因提出 e-Science 虚拟动态分层体系结构和语义 P2P 网络协议,而被 Marquis 世界名人录( 2006 )、 Marquis 科学与工程名人录( 2006-2007 )以及 Marquis 亚洲名人录( 2006-2007 )收录。 曾经为多家计算机公司的技术负责人或技术经理。 2004-2006 期间在英国 Cardiff 大学担任副高级研究员( Senior Research Associate )。 1982 年本科毕业于江西大学(现南昌大学); 1984 年研究生毕业于杭州大学。 2003 年于浙江大学获得博士学位。 黎建辉 ,男,博士,现为中国科学院计算机网络信息中心科学数据中心主任, 研究员,博士生导师, CODATA 中国委员会秘书长。 2007 年获得中国科学院计算技术研究所博士学位,主要从事大规模数据存储和管理和基于语义的海量数据集成等方向的研究工作,主持或者作为主要骨干中国科学院 “ 十五 ” “ 十一五 ” 信息化专项、科技部国家科技基础条件平台、科技部 863 项目、中国科学院创新方向性项目、中国科学院国际合作项目等多项。在大规模数据存储与管理、海量数据处理、数据语义集成等方面取得了一系列成果。发表论文 50 余篇,其中 SCI 收录 2 篇, EI 收录 20 多篇,在 Future Generation Computer Systems 、 Knowledge Information System 等国际期刊 3 篇, 主持完成了一项国家标准的研制,参与了 2 项国际标准的研制,申请国家专利 8 项,其中获得国家专利一项(排名第二),获得软件著作权 10 余项。 Dr. Jianhui Li,researcher,PhD supervisor,is theincumbentdirectorofScience Data Centerof Computer Network Information Center, Chinese Academy of Sciences(CAS) .He is also theSecretary-GeneralofChinaCommittee of CODATA. Dr.Jianhui Liobtained his Ph.D. degree from Institute of Computing Technology, CAS in 2007. He mainly engaged intheresearchoflarge-scaledata storage andmanagement, semantic based huge dataintegration.As a major technician,hepresided over theinformationspecial projects ofCAS during "10th Five-Year " and “11th Five-Year” plan period,the platform of thenationalscience and technology infrastructure,863projects,directivity innovation projectofCAS, internationalcooperation projects of CASand many other projects. Dr. Jianhui Li has made a series of achievements inthelarge-scaledata storage and management,huge data processing and data semantics integration and publishedmore than 50 papers,of which 2 SCI articles, more than 20 EI papers, 3international journalsinthe FutureGenerationComputer Systems, Knowledge Information System and other international journals. He also presided over the completion ofthedevelopmentofanational standardand participated inthe development of twointernational standards.He has applied 8 state patents, of which 1 has achieved national patent, and he won more than 10 software copyrights. Dr. Junwei Cao is currently a Professor and Assistant Dean of Research Institute of Information Technology, Tsinghua University, China. Before joining Tsinghua in 2006, he was a Research Scientist of Massachusetts Institute of Technology, USA. Before that he worked as a research staff member of NEC Laboratories Europe, Germany. Junwei Cao got his PhD in Computer Science from University of Warwick, UK, in 2001, where his PhD thesis was focused on Agent-based Resource Management for Grid Computing. He got his master and bachelor degrees from Tsinghua University in 1998 and 1996, respectively. Junwei Cao’s research is focused on advanced computing technology and applications. He recently participated in research projects on Cloud Computing and Internet of Things under the National 973 Basic Research Program, Ministry of Science and Technology of China. Junwei Cao has published over 100 academic papers, cited by international researchers for over 2000 times. Junwei Cao is a Senior Member of the IEEE Computer Society and a Member of the ACM and CCF. 曹军威,博士,清华大学信息技术研究院院务会副主任、研究员。美国 MIT 访问科学家( Visiting Scientist )。 1991-1998 ,清华大学自动化系本科、硕士毕业; 1999-2006 ,分别在英国华威( Warwick )大学计算机博士毕业、在位于德国波恩的 NEC 欧洲实验室任 Research Scientist 、美国 MIT 任 Research Scientist ; 2006 年回清华工作至今。 研究方向:先进计算技术及其应用:赛百平台( Cyberinfrastructure )、网格计算( Grid Computing )、分布式计算( Distributed Computing )、高性能计算( High Performance Computing )、数据管理( Data Management )、虚拟组织( Virtual Organization )管理和流程管理( Process Management )。 Dr. Yike Guo is a professor in computing science in the Department of Computing, Imperial College London. His research is in the areas of large scale scientific data analysis , data mining algorithms and applications, parallel algorithms and cloud computing. He graduated in Computer Science from Tsinghua University of China and has a PhD in Computational Logic and Declarative Programming at Imperial College London. During his PhD study, he was one of the founding members of the field studying uniform declarative programming by integrating functional and logic programming languages. Later, his work on functional coordination forms established a foundation for structured parallel programming. Dr. Yike Guo has been working in the area of data intensive analytical computing since 1995 when he was the Technical Director of Imperial College Parallel Computing Centre. During last 10 years, he has been leading the data mining group of the department to carry out many research projects, including some major UK e-science projects such as: Discovery Net on Grid based data analysis for scientific discovery; MESSAGE on Wireless mobile sensor network for environment monitoring; BAIR on System biology for diabetes study. He has been focusing on applying data mining technology to scientific data analysis in the fields of life science and healthcare, environment science and security. He is the Principal Investigator of the Discovery Science Platform grant from UK EPSRC and he is also the Founder and Chief Technical Officer of InforSense Limited , an Imperial College spin-out company on enterprise platform for business and scientific intelligence. 郭毅可, 1985 年本科毕业于清华大学计算机系, 1986 年硕士毕业于清华大学计算机系, 1993 年博士毕业于伦敦大学帝国理工学院计算机系。伦敦大学帝国理工学院计算机系计算机科学正教授。 刘元安 ,教授,博士生导师 , 国家 “863 计划 ” 专家、现任 北京邮电大学 电子工程学院执行院长。长期致力于下一代移动通信系统及关键技术、天线技术、异构网络接入与融合技术、电磁兼容与测量技术等领域的关键技术研究和新产品的开发工作。刘教授所领导的无线电技术与电磁兼容实验室,有高水平的科研项目,包括国家 “863 计划 ” 、国家自然科学基金、部委计划、国际合作等。主持完成各类研究课题 20 余项,在国内外学术刊物发表研究论文 100 余篇,包括 IEEE Transactions on XXXs 、科学通报、电子学报、通信学报和微波学报等,出版《宽带无线接入》等专著五本。是教育部科技委信息学部学部委员 , 中国通信标准化协会 TC-9 组副主席 , 中国电子学会和中国通信学会高级会员,电子学会电磁兼容分会委员, IEEE 会员。 Professor David W. Walker received a B.A. degree in Mathematics from Jesus College, University of Cambridge, in 1976. His M.Sc. degree in Astrophysics was obtained from Queen Mary College, University of London, in 1979, and his Ph.D. from the same institution in 1983. Professor Walker subsequently held postdoctoral appointments at the University of London and the Jet Propulsion Laboratory. In 1986 Prof. Walker became a staff scientist in the Concurrent Computation Project at the California Institute of Technology, and in August 1988 was appointed to the University of South Carolina mathematics faculty as an associate professor. In September 1990 Prof. Walker joined the Mathematical Sciences Section of Oak Ridge National Laboratory, where he headed the mathematics group, and became a senior research staff member in 1995. Since December 1995, he has been Professor of High Performance Computing in the Department of Computer Science at the University of Wales Cardiffi where he also serves as Director of the Welsh e-Science Centre. Professor Walker's research interests focus on software, algorithms, and environments for computational science on high performance computers. He has been closely involved in the development of the ScaLAPACK parallel software library, and the MPI message passing standard. He has also contributed to the design of a parallel version of the Community Climate Model, and has published a number of papers on the parallel implementation of particle-in-cell algorithms for plasma simulations. He has also been involved in the benchmarking of science and engineering applications codes on parallel computers. Professor Walker has published over 70 papers in the area of parallel computing and has co-authored three books on the subject. In 1992 he founded a series of conferences on high performance computing under the auspices of the Gordon Research Conference organization. He has organized a number of other conferences and workshops in the same area
email里收到如下邮件 Dear Ms Ping, It is our pleasure to invite you to the 2nd International Conference on Algal Biomass, Biofuels and Bioproducts. This event will provide a new level of direct interaction between the leaders in the scientific field, the strategic partners and the attending delegates. Emphasis will be placed on latest unpublished technical and scientific results, discussion and direct interactions with strategic partners and leaders in the field. The conference is designed to facilitate extended discussion periods with dedicated networking sessions. Call for Abstracts Submit your abstract by 24th February 2012 ……………… We look forward to welcoming you in San Diego. 他们是怎么知道我email和研究领域的? 看了看,注册费要好几百刀,没有人cover俺的费用,还是算了…… 刚才仔细看看,居然还有另外一封—— Dear Ms Ping, It is our pleasure to invite you to the 12th International Symposium on Biosafety of Genetically Modified Organisms (ISBGMO) in St Louis, USA between 16-20 September 2012. This conference will provide the opportunity for scientists from all around the world to share experiences and engage in meaningful dialogues about biosafety. We are now seeking abstracts for oral and poster presentations relating to biosafety and biotechnology, particularly those addressing changing needs of agriculture, health and the environment. The conference will cover the following topics:…… 国外的会议也开始海量乱发邀请了啊……
June 11-14, 2012 | Washington, DC, USA THEME: Cyberspace, Border, and Immigration Securities HOST: The University of Arizona URL: http://isi.borders.arizona.edu/index.html Intelligence and Security Informatics (ISI) research is an interdisciplinary research field involving academic researchers in information technologies, computer science, public policy, bioinformatics, and social and behavior studies as well as local, state, and federal law enforcement and intelligence experts, and information technology industry consultants and practitioners to support counterterrorism and homeland security missions of anticipation, interdiction, prevention, preparedness and response to terrorist acts. The annual IEEE International ISI Conference series (http://www.isiconference.org/) was started in 2003, and the first nine meetings were held in Tucson, AZ (twice); Atlanta, GA; San Diego, CA; New Brunswick, NJ; Taipei, Taiwan; Dallas, TX; Vancouver, Canada; and Beijing, China. Proceedings of these ISI meetings and workshops have been published by the IEEE Press and in the Springer Lecture Notes in Computer Science (LNCS) series . ISI 2012 will be organized in four main streams focusing on Information Sharing and Data/Text Mining, Infrastructure Protection and Emergency Responses, Terrorism Informatics, and Enterprise Risk Management and Information Systems Security Submissions may include systems, methodology, testbed, modeling, evaluation, and policy papers. Research should be relevant to informatics, organization, or public policy in applications of counter-terrorism or protection of local/ national/international security in the physical world or cyberspace. Topics include but are not limited to: Information Sharing and Data/Text Mining Intelligence-related knowledge discovery Computer or cyber crime investigations and digital forensics Criminal investigative criteria and standard of procedure on Computer crime Criminal data mining and network analysis Forecasting crime and the impact of crime Criminal/intelligence information sharing and visualization Crime pattern recognition and modeling tools Web-based intelligence monitoring and analysis Spatial-temporal data analysis/GIS for crime analysis and security informatics Deception and intent detection Cyber-crime detection and analysis Authorship analysis and identification Applications of digital library technologies in intelligence data processing, preservation, sharing, and analysis Agents and collaborative systems for intelligence sharing HCI and user interfaces of relevance to intelligence and security Information sharing policy and governance Privacy, security, and civil liberties issues Intelligence-computerized community security and surveillance system Infrastructure Protection and Emergency Responses Cyber-Physical-Social system security and incident management Cyber-infrastructure design and protection Intrusion detection Bio-terrorism tracking, alerting, and analysis Bio-terrorism information infrastructure Transportation and communication infrastructure protection Border/transportation safety Law Enforcement decision support systems Emergency response and management Disaster prevention, detection, and management Communication and decision support for search and rescue Assisting citizens' responses to terrorism and catastrophic events Computer forensics and crime lead discovery Anti-fraud information technology Terrorism Informatics Terrorism related analytical methodologies and software tools Terrorism knowledge portals and databases Terrorist incident chronology databases Terrorism root cause analysis Social network analysis (radicalization, recruitment, conducting operations), visualization, and simulation Forecasting terrorism Countering terrorism Measuring the impact of terrorism on society Measuring the effectiveness of counter-terrorism campaigns Crime intelligence and cyberspace crime investigation Immigration and security Enterprise Risk Management and Information Systems Security Information security management standards Information systems security policies Behavior issues in information systems security Fraud detection Cyber crime and social impacts Corporate going concerns and risks Accounting and IT auditing Corporate governance and monitoring Board activism and influence Corporate sentiment surveillance Market influence analytics and media intelligence Consumer-generated media and social media analytics Paper submission instructions and template information can soon be found on the Submissions page at http://isi.borders.arizona.edu/ WORKSHOPS In conjunction with ISI 2012, several workshops will be held on June 11, 2012. The currently committed ones include (1) the Border Security Workshop, (2) the Immigration Studies Workshop, and (3) the International Workshop on Social Computing (SoCo). Workshop proposals are welcome. IMPORTANT DATES paper submission due date for ISI 2012: February 15, 201 2 Notification of acceptance: March 15, 2012 The due date for Tutorial/ Workshop proposals: February 27, 2012 PAPER SUBMISSION Submission file formats are PDF and Microsoft Word. Required Word/LaTeX templates (IEEE two-column format) can be found at the conference Web site. Long (6,000 words, 6 pages max.) and short (3000 words, 3 pages max.) papers in English must be submitted electronically via the conference Web site. The accepted papers from ISI 2012 and its affiliated workshops will be published by the IEEE Press in a formal Proceedings. IEEE ISI Proceedings are EI-indexed. Authors who wish to present a poster and/or demo may submit a 1-page extended abstract, which, if selected, will appear in Proceedings. The selected IEEE ISI 2012 best papers will be invited for contribution to the new Springer Security Informatics journal. Proposals for tutorials and special-topic workshops in any areas of Intelligence and Security Informatics research and practice are welcome. Such events will be an integral part of the ISI-2012 conference program. Proposals in PDF or Microsoft Word not exceeding 3 pages should be emailed to the conference organizing committee at zeng@email.arizona.edu by February 27, 2012 and contain the following information. Title of tutorial/workshop Preferred duration (half day vs. full day) Brief bios of proposed instructor(s)/organizer(s) Objectives to be achieved Scope of topics to be covered Target audience and evidence of interest (for tutorials) Target audience and the list of potential presenters/contributors (for workshops)
序号 会议名称 会议介绍 代表领域 1 ACM SIGCOMM: ACM Conf on Communication Architectures, Protocols Apps ACM 的旗舰会议之一,也是网络领域顶级学术会议,内容侧重于有线网络,每年举办一次,录用率约为10%左右。 网络通信领域 2 IEEE INFOCOM: The Conference on Computer Communications IEEE 计算机和通信分会联合年会,由IEEE计算机通信技术委员会和IEEE通信协会联合举办,是信息通信领域规模最大的顶尖国际学术会议,录用率约为16%左右。这个每年一度的会议的主要议题是计算机通信,重点是流量管理和协议。 网络通信领域 3 IEEE International conference on communications IEEE 国际通信大会,是IEEE通信学会的两大旗舰会议之一。每年举办一次,录用率约为30%左右。 网络通信领域 4 IEEE Globecom: Global Telecommunications Conference IEEE 全球电信会议,IEEE通信学会的两大旗舰会议之一。覆盖包括语音、数据、图像和多媒体通信等热点问题的技术和其它活动。GLOBECOM每年一次,一般都在十一月举行,录用率约为30%-40%。 网络通信领域 5 IEEE ITC: International Test Conference 创始于1970年,是测试领域顶级学术会议,对工业界影响巨大。每年举办一次,近年参会人员规模达到数千人。 网络通信领域 6 IEEE The International Conference on Dependable Systems and Networks IEEE 可靠系统和网络会议,是IEEE容错计算技术委员会主办的最重要的国际会议,也是可靠系统和网络领域历史最悠久,地位非常高的学术会议。 网络通信领域 7 ACM MobiCom: International Conference on Mobile Computing and Networking 无线网络领域顶级会议,录用率约为10%,每年举行一次。 无线网络领域 8 ACM SIGMETRICS: Conference on Measurement and Modeling of Computer Systems 偏重于建模和测量的重要国际会议,内容覆盖系统和网络,录用率为10%左右。 网络通信领域 9 MOBIHOC: ACMInternationalSymposiumon MobileAdHocNetworkingandComputing 无线网络领域新兴的重要国际会议,内容侧重于adhoc网络。 无线网络领域 10 IEEE International Conference on Distributed Computing Systems 由IEEE主办,开始于1979年,从84年起每年举办一次。这是分布式计算系统领域中历史最悠久的会议。录用率约为18%左右。 分布式计算系统领域 11 IMC: Internet Measurement Conference 网络测量领域顶级的专业会议 网络测量 12 ICCV: IEEE International Conference on Computer Vision 领域顶级国际会议,录取率20%左右,2年一次,中国大陆每年论文数不超过10篇 计算机视觉,模式识别,多媒体计算 13 CVPR: IEEE Conf on Comp Vision and Pattern Recognition 领域顶级国际会议,录取率25%左右,每年一次,中国大陆每年论文数不超过20篇 模式识别,计算机视觉,多媒体计算 14 ECCV: European Conference on Computer Vision 领域顶级国际会议,录取率25%左右,2年一次,中国大陆每年论文数不超过20篇 模式识别,计算机视觉,多媒体计算 15 DCC: Data Compression Conference 领域顶级国际会议,录取率很低,每年一次,目前完全国内论文极少 数据压缩 16 ICML: International Conference on Machine Learning 领域顶级国际会议,录取率25%左右,2年一次,目前完全国内论文很少 机器学习,模式识别 17 NIPS: Neural Information Processing Systems 领域顶级国际会议,录取率20%左右,每年一次,目前完全国内论文极少(不超过5篇) 神经计算,机器学习 18 ACM MM: ACM Multimedia Conference 领域顶级国际会议,全文的录取率极低,但Poster比较容易 多媒体技术,数据压缩 19 IEEE ICIP: International conference on Image Processing 图像处理领域最具影响力国际会议,一年一次 图像处理 20 IEEE ICME: International Conference on Multimedia and Expo 多媒体领域重要国际会议,一年一次 多媒体技术 21 IEEE VR:IEEE Virtual Reality IEEE 虚拟现实会议,每年一次 虚拟现实领域 22 ACM VRST:ACM Virtual Reality Software and Technology 虚拟现实软件与技术ACM年会,一年一次 虚拟现实领域 23 CGI:Computer Graphics International 国际图形学会议,一年一次 图形学领域 24 ACL: The Association for Computational Linguistics 国际计算语言学会年会,是本领域最权威的国际学术会议之一,每年举办一次 计算语言学,自然语言处理 25 COLING: International Conference on Computational Linguistics 计算语言学会议,也是本领域最权威的国际学术会议之一,两年一次 计算语言学,自然语言处理 26 IEEE ICASSP: International Conference on Acoustics, Speech and Signal Processing 是语音和声学信号处理领域最权威的国际学术会议之一,也是图像、视频信号处理领域的权威会议之一,每年举办一次 信号处理 27 IJCNLP: International Joint Conference on Natural Language Processing 自然语言处理亚洲联盟主办的国际会议,是自然语言处理领域亚洲区域最有影响的学术会议,基本是每年举办一次 自然语言处理 28 IEEE/ACM Design Automation Conference 顶级会议,在美国召开 IC 设计领域 29 IEEE VLSI Test Symposium 一级会议,在美国召开 测试领域 30 IEEE/ACM Design, Automation and Test in Europe 一级会议,在欧洲召开 设计和测试领域 31 IEEE Asian Test Symposium 一级会议,在亚洲召开 测试领域 32 Ubicomp: International Conference on Ubiquitous Computing 国际普适计算年会,本领域最权威的学术会议之一,每年一次 普适计算 33 PerCom: IEEE International Conference on Pervasive Computing and Communications 本领域最权威的学术会议之一,每年一次 普适计算 34 EUC: The IFIP International Conference on Embedded And Ubiquitous Computing 普适计算与嵌入式系统峰会,一年一次,不仅仅是学术讨论,也有工业界和政府代表参加 普适计算与嵌入式系统 35 ICPS: IEEE International Conference on Pervasive Services 普适计算与服务会议,一年一次 普适计算 36 SenSys ,ACM Conference on Embedded NEtworked Sensor Systems ACM 主办传感器网络最有影响力的会议,由 SIGCOMM, SIGMOBILE, SIGARCH, SIGOPS, SIGMETRICS, SIGBED 等 ACM 的 Special Interest Groups 提供学术资助。从 2003 年开始,已经连续举办 4 届: 03 年收录 24 篇; 04 年收录 21 篇; 05 收录 21 篇文章; 06 年 24 篇收录文章。目前只能查到 2004 年的录用率,为 14.5 %。 传感器网络 37 SECON, IEEE Communication Society Conference on Sensor and Ad Hoc COmmunications and Networks, 由IEEE发起的会议,基本每年举行一次。近三年的录取率(04,05,06)分别为18.1% 27.2%和25.9% 传感器网络 38 MASS, IEEE International Conference on Mobile Ad hoc and Sensor Systems 由IEEE、DARPA、 NSF和Army Research Office 发起的国际会议,基本每年举行一次。2006年录用率24% 传感器网络 39 The International Conference for High Performance Computing and Communication 每年11月举行(始于1989年), Conference on High Performance Networking and Computing , http://www.sc-conference.org/ 高性能计算 40 CLUSTER 4, IEEE Int ’l Conf. on Cluster Computing, http://grail.sdsc.edu/cluster2004/ 高性能计算 41 HPDC-, th IEEE Int ’l Symp. on High-Performance Distributed Computing, Honolulu. http://hpdc13.cs.ucsb.edu 高性能计算 42 NASA/IEEE Conference on Mass Storage Systems and Technologies (MSST4) , 每年一次,http://storageconference.org/ 高性能计算 43 SuperComputing :The International Conference for High Performance Computing and Communications 高性能计算机方向公认的高水平会议之一,第一届会议是1988年,每年11月举行,4、5月份投稿。会议内容包括technical and education programs,workshops,tutorials以及展览的一系列活动供与会者参加。SuperComputing“is the one place that attendees can see tomorrow's technology being used to solve world-class challenge problems today”。官方网站为http://www.sc-conference.org 高性能计算 44 IEEE Int'l Conf. on Cluster Computing 该会议一般会以Cluster+年份作为会议名称,比如Cluster 2005。该会议主要讨论商业集群相关技术,包括“To achieve higher performance, scalability, and usability, research and development challenges remain in virtually all areas of cluster computing, including middleware, networking, algorithms and applications, resource management, platform deployment and maintenance, and integration with grid computing”。每年9月份举行,4、5月份投稿。 高性能计算 45 International Conference on Distributed Computing Systems 由IEEE主办,开始于1979年,从84年起每年举办一次。这是分布式计算系统领域中历史最悠久的会议。ICDCS provides a forum for engineers and scientists in academia, industry, and government to present and discuss their latest research findings on a broad array of topics in distributed computing. 高性能计算 46 IEEE Int'l Symp. On High Performance Distributed Computing This conference is “a forum for presenting the latest research findings on the design and use of highly networked systems for computing, collaboration, data analysis, and other innovative tasks”. 每年6、7月份举行,2月份截稿,3月底确定 高性能计算 47 International Conference for High Performance Computing and Communications (IEEE/ACM Supercomputing Conference) 高性能计算领域的顶级会议,全世界从事高性能计算事业的每年一次的最重要的盛会之一。每年12月份召开,会上发布TOP500的下半年排名。 高性能计算 48 ACM International Conference on Supercomputing 高性能计算领域的顶级会议,全世界从事高性能计算事业的每年一次的最重要的盛会之一。每年6月份召开,会上发布TOP500的上半年排名。 高性能计算 49 IEEE International Parallel Distributed Processing Symposium IEEE 和ACM SIGARCH发起的并行处理国际会议。每年一次 高性能计算 50 IEEE International Conference on Parallel Processing IEEE 发起的并行处理国际会议。每年一次 高性能计算 51 IEEE International Conference on High Performance Computing IEEE 发起的高性能计算国际会议。每年一次在印度举行。 高性能计算 52 ACM SIGMETRICS International Conference on Measurement and Modeling of Computer Systems. Performance presents papers on the development and application of state of the art, broadly applicable analytic, simulation, and measurement-based performance evaluation techniques. We are interested in techniques whose aim is to evaluate a system's dependability, security, correctness, or power consumption as well as more traditional performance metrics. Of particular interest is work that furthers the state of the art in performance evaluation methods, or that creatively applies previously developed methods to gain important insights into key design trade-offs in complex computer and communication systems. 高性能计算 53 IEEE Annual Workshops on Workload Characterization. The meeting that began as Workshop on Workload Characterization (WWC) in 1998 is becoming a Symposium. New computer applications and programming paradigms are constantly emerging to complement new and improving technology. The design of next generation microprocessors and computer systems should be based on an understanding of today's emerging workloads. 高性能计算 54 International Symposium on Computer Architecture(ISCA) ISCA is the premier forum for computer architecture research 高性能计算 55 International Symposium on High Performance Computer Architecture(HPCA) 高性能计算 56 International Symposium on Microarchitecture (MICRO) The annual MICRO conference (co-sponsored by SIGMICRO) has been a key forum for presenting major breakthroughs in computing architecture, and has established itself as the premier conference on instruction level parallelism. 高性能计算 57 FAST: USENIX Conference on File and Storage Technologies, 存储领域最好的专业会议,该会议只针对存储相关的内容,属于本领域最顶级的会议。录取率非常低,现在的状况是基本上只有美国和加拿大最顶尖的研究小组在上面发表文章。每年举办一届。 存储领域 58 NASA/IEEE Conference on Mass Storage Systems and Technologies (MSST4) , 存储领域的专业会议,历史很长,在业界比较有影响 存储领域 59 SNAPI ’ 4: International Workshop on Storage Network Architecture and Parallel I/Os, Antibes Juan-les-spins, French, 存储领域较好的专业会议 存储领域 60 IEEE SC: SC-High Performance Computing, Networking and Storage Conference 高性能计算领域最好会议之一 存储领域 61 IEEE International Workshop on Networking, Architecture, and Storages ( IWNAS ) 国内办的存储领域的国际会议 存储领域 62 IEEE International Conference on Autonomic Computing ( ICAC ) 自主计算领域的国际专业会议,从2004开始,每年举办一次。针对大规模计算机系统或软件系统而提出的自管理、自配置、自优化、自保护等概念。 自主计算 63 Proceedings of the International Conference on Measurements and Modeling of Computer Systems 性能测试、分析与模拟方面的顶级会议。一年一届,已经举办12届 性能研究 64 International Symposium on High Performance Computer Architecture(HPCA) 高性能计算领域最好会议之一,基本上都是最顶尖的研究小组在上面发文章 高性能计算 65 IEEE Int'l Symp. On High Performance Distributed Computing 高性能分布式计算领域的会议,一年一届,已经举办15届 高性能计算 66 IEEE Int'l Conf. on Cluster Computing 集群和高性能计算很有影响的会议 分布式系统 67 USENIX Annual Technical Conference 操作系统、体系结构方面最好的会议之一 计算机系统 68 IEEE/ACM Int'l Symp. on Cluster Computing the Grid 集群和网格计算领域很好的会议 集群 69 International Symposium on Computer Architecture ( ISCA ) 系统结构最好的会议,系统结构的旗舰会议。基本上是美国最顶尖的研究小组在上面发表文章,国内的人员很难 系统结构 70 International Symposium on Microarchitecture ( MICRO ) 系统结构最好的会议之一。基本上是美国最顶尖的研究小组在上面发表文章,国内的人员很难 系统结构 71 HPCC : The International Conference for High Performance Computing and Communications 高性能计算领域较高的会议 高性能计算 72 IEEE International Conference on High Performance Computing IEEE 发起的高性能计算国际会议。每年一次在印度举行。 高性能计算 73 Annual ACM International Conference on Supercomputing ( ICS ) 高性能计算领域的顶级会议,全世界从事高性能计算事业的每年一次的最重要的盛会之一。每年6月份召开,会上发布TOP500的上半年排名。 高性能计算 74 Symposium on Operating System Design and Implementation ( OSDI ) 操作系统最好的会议和SOSP交替举行,每两年一届,操作系统的旗舰会议。基本上是美国最顶尖的研究小组在上面发文章,其他地区要中极其困难 操作系统 75 ACM Symposium on Operating Systems Principles ( SOSP ) 操作系统最好的会议和OSDI交替举行,每两年一届,操作系统旗舰会议操作系统。基本上是美国最顶尖的研究小组在上面发文章,其他地区要中极其困难 操作系统 76 Proceedings of the 11th International Conference on Architectural Support for Programming Languages and Operating Systems ( ASPLOS ) 操作系统和程序语言最好的会议之一,录取率也非常低,也是基本上只有美国最顶尖的研究小组能够在上面发文,其他地区的极其困难 操作系统,程序语言 77 Workshop on Hot Topics in Operating Systems ( HOTOS ) 操作系统最好的会议之一 操作系统 78 Proceedings of the International Conference on Parallel Processing ( ICPP ) 并行计算非常有影响的会议 并行计算 79 Annual IEEE Conference on Local Computer Networks ( LCN ) 网络 80 International Conference on Distributed Computing Systems ( ICDCS ) 分布式计算非常有影响的会议,每年一次 分布式计算 81 International Conference on Parallel and Distributed Computing, Applications and Technologies ( PDCAT ) 分布式计算很好的会议,每年一次,已经举办7届 分布式计算 82 IEEE International Parallel and Distributed Processing Symposium ( IPDPS ) 并行与分布式计算领域非常有影响的会议,每年一次 并行与分布式计算 83 ASPLOS: Architectural Support for Programming Languages and Operating Systems ASPLOS 是由 ACM 主办的国际会议,每年一次。主要关注硬件、体系结构、编译、操作系统等研究方向,在国内外学术界很高的影响。 编译技术 84 CASES: International Conference on Compilers, Architectures and Synthesis for Embedded Systems CASES 是 ACM 主办的国际会议,每年一次,主要关注编译器,体系结构和嵌入式系统等研究方向,录取率在 20 %- 30 % 编译技术 85 CODES: International Conference on Hardware Software Codesign CODES 是 ACM 主办的国际权威会议,每年一次。始于 1994 年。主要关注 hardware/software co-design 和嵌入式系统的 system-level design 。近两年的接收率为 25 %左右。 编译技术 86 DAC: Annual ACM IEEE Design Automation Conference DAC 是电子电路设计方面的国际权威会议,始于 1984 年。主要关注芯片、电路以及系统设计的新工具和新方法。近两年的接收率为 20 %左右。 编译技术 87 ICFP: International Conference on Functional Programming Functional Programming 方向的国际会议。主要关注 functional programming 的设计、实现、概念和使用。接收率在 30 %左右。 编译技术 88 ICS: International Conference on Supercomputing ICS 是由 ACM SIGARCH 主办的国际会议,每年一次。主要关注高性能计算机和计算等方面的研究,在国内外学术界很高的影响。接收率 30 %左右。 编译技术 89 ICSE: International Conference on Software Engineering 软件工程方向的权威会议,接收率不到 20 %。除了 main conference 之外,还包括 tutorials, workshops, symposia 以及 collocated conferences 。 编译技术 90 ISCA: International Conference on Computer Architecture ISCA 是由 IEEE 和 ACM 主办的国际会议,每年一次。主要关注处理器结构、存储结构、功耗等方面的研究,在国内外学术界很高的影响。接收率 20 %左右。 编译技术 91 ISMM: International Symposium on Memory Management 内存管理方向的国际会议,主要关注 garbage collection, dynamic storage allocation, storage management implementation techniques ,另外也包括 interactions with languages and operating systems, and empirical studies of programs' memory allocation and referencing behavior 编译技术 92 ISSTA: International Symposium on Software Testing and Analysis ISSTA 是国际顶级的关于 software test and analysis 的会议。近年来两年举办一次。和它同时举行的还有 Formal Methods in Software Practice Workshop 。 编译技术 93 LCTES: Language, Compiler and Tool Support for Embedded Systems 关注 languages, compilers 和 tools for embedded systems 的国际会议。每年一次,接收率在 25 %左右。 编译技术 94 MICRO: International Symposium on Microarchitecture 计算机体系结构方向的国际权威会议。关注计算机体系结构领域的重大发展。同时也是指令级并行方向的顶级会议。 编译技术 95 OOPSLA: Conference on Object Oriented Programming Systems Languages and Applications Object technology 和面向对象程序设计领域的国际权威会议。涉及的具体方向有 patterns, refactoring, aspect-oriented programming, dynamic compilation and optimization, unified modeling language, and agile methods 。接收率 20 %左右。 编译技术 96 PLDI: Conference on Programming Language Design and Implementation PLDI 是由 ACM 主办的国际会议,每年一次。主要关注编程语言的设计与实现等方面的研究工作,在国内外学术界很高的影响。 编译技术 97 PODC: Annual ACM Symposium on Principles of Distributed Computing 关注分布式系统的理论,设计,实现,规范等领域的国际会议。近年的接收率在 25 %左右。 编译技术 98 POPL: Annual Symposium on Principles of Programming Languages 关注 programming languages, programming systems, and programming interfaces 的 design, definition, analysis, and implementation 的国际权威会议,始于 1973 年,接收率不到 20 % 编译技术 99 PPoPP: Principles and Practice of Parallel Programming PPoPP 是由 ACM 主办的国际会议,两年一次。主要关注并行编程方面的研究,在国内外学术界很高的影响。接收率 30 %。 编译技术 100 SIGMETRICS: Joint International Conference on Measurement and Modeling of Computer Systems 关注计算机系统性能方面的 theory, practice and case studies 的国际会议 编译技术 101 SIGSOFT: Foundations of Software Engineering Software Engineering 领域的权威会议。 2005 年以前的接收率一般不足 20 %。 编译技术 102 ASE - IEEE International Conference on Automated Software Engineering 关注软件开发自动化的顶级会议。前身为 KBSE(Knowledge-Based Software Engineering) ,始于 1997 年。 编译技术 103 CGO - International Symposium on Code Generation and Optimization CGO 是由 IEEE CS 和 ACMSIGMICRO 主办的国际会议,每年一次。主要关注代码生成和优化等方面的研究,在国内外学术界很高的影响。接收率 30 %左右。 编译技术 104 CLUSTER - IEEE International Conference on Cluster Computing CLUSTER 是由 IEEE 主办的国际会议,每年一次。主要关注 群集计算 方面的研究,在国内外学术界很高的影响。 编译技术 105 DATE - Design, Automation, and Test in Europe 关注 Design methodologies, CAD languages, algorithms and tools, Testing of electronic circuits and systems, and Designer experiences 的国际会议 编译技术 106 EURO-PDP - Euromicro Conference on Parallel, Distributed and Network-Based Processing EUROMICRO 的一个 workshop ,关注并行和分布式计算。 编译技术 107 HPCA - International Symposium on High-Performance Computer Architecture HPCA 是由 IEEE 主办的国际会议,每年一次。主要关注 高性能计算 方面的研究,在国内外学术界较高的影响。 编译技术 108 HPCS - Annual International Symposium on High Performance Computing Systems and Applications IEEE 主办,每年在加拿大的大学召开。但参会者不局限于加拿大的研究人员。其内容涵盖了 HPC 的各个领域 编译技术 109 ICDCS - International Conference on Distributed Computing Systems IEEE 主办,涉及分布式计算方面各个领域的权威会议。起始于1979年。 编译技术 110 ICPADS - International Conference on Parallel and Distributed Systems IEEE 主办,关注并行和分布式系统的国际会议 编译技术 111 IISWC - IEEE International Symposium on Workload Characterization 关注characterization of computing system workload的国际会议。涵盖applications, middleware, system的behavior。Benchmark的构造和分析,以及程序行为的modeling等领域。 编译技术 112 IPDPS - International Parallel and Distributed Processing Symposium IEEE 主办,并行和分布式处理相关的国际会议。包括并行处理的算法,应用,体系结构,以及和并行处理相关的软件如语言,编译器,运行时系统等。 编译技术 113 ISPASS - IEEE International Symposium on Performance Analysis of Systems and Software IEEE 主办,关注计算机软硬件设计中的性能分析。 编译技术 114 PACT - International Conference on Parallel Architectures and Compilation Techniques PACT 是由IEEE CS、ACM SIGARCH和IFIP主办的国际会议,每年一次。主要关注并行体系结构、针对并行计算机系统的编译等方面的研究,在国内外学术界很高的影响。 编译技术 115 RTSS - IEEE Real-Time Systems Symposium 实时系统研究的顶级会议, IEEE 主办,已经举行了 27 届。 编译技术 116 RTAS - IEEE Real-Time and Embedded Technology and Applications Symposium 关注实时和嵌入式计算的基础结构,理论, system support 的国际会议。 编译技术 117 SC - IEEE/ACM SC Conference SuperComputing 的简称。关注的领域为 HPC , networking , storage and analysis 。 ACM 和 IEEE 合办。 编译技术 118 LCPC - International Workshop on Languages and Compilers for Parallel Computing 始于 1988 年,涉及编程语言的各个方面,包括 compiler techniques, run-time environments, and compiler-related performance evaluation for parallel and high-performance computing 。 编译技术 119 CC: International Conference on Compiler Construction 关注的领域涉及程序的各个方面,包括编译器构造, run-time 技术, prgramming tools, 新编程语言。也包括一些特别的领域如 parallel, ditributed, embedded, mobile, low power code, hardware 等。是 ETAPS 的 member conference 。影响因子 0.83 编译技术 120 HiPEAC - International Conference on High Performance Embedded Architectures Compilers 关注嵌入式系统的发展,包括处理器设计,编译优化等。 编译技术 121 ECOOP - European Conference on Object-Oriented Programming 关注 object technology 的各个领域。其中也包括一些虽然不直接和 Object Oriented 相关,但同样具有启发性的工作。 编译技术 122 ESOP - European Symposium on Programming ETAPS 的 member conference ,是欧洲 Software Science 方向的重要会议。涵盖了程序语言的设计和实现,编程模型的研究,程序的自动生成和分析等方向。 编译技术 123 Euro-Par - European Conference on Parallel Computing 关注并行计算的诸多方面的国际会议。粗略可分为 hardware, software,algorithms and application for parallel computing 几个部分。 124 SAS - International Static Analysis Symposium 关注程序的静态分析的权威会议。 编译技术 125 CAV - Computer Aided Verification Rank1 的国际会议,关注计算机辅助形式验证,涵盖从 theoretical results 到 concrete applications 的诸多方面,尤其是 practial verification tools and the algorithms and techniques that needed for their implementation 。影响因子 1.88 。 编译技术 126 FASE - Fundamental Approaches to Software Engineering ETAPS 的 member conference ,主要关注 Software Science ,影响因子 0.91 。 编译技术 127 TACAS - Tools and Algorithms for the Construction and Analysis of Systems ETAPS 的 member conference ,关注的领域包括 formal methods, software and hardware verification, static analysis, programming languages, software engineering, real-time systems, and communications protocols 。影响因子 1.24 编译技术 128 VMCAI - Verification, Model Checking and Abstract Interpretation Rank2 的国际会议。关注的领域包括 Verification, Model Checking, and Abstract Interpretation, facilitating interaction, cross-fertilization, and advancement of hybrid methods that combine the three areas 。 编译技术 129 ACL: The Association for Computational Linguistics 计算语言学 / 自然语言处理方面最好的会议 , ACL (Association of Computational Linguistics) 主办 , 每年开。 人工智能 计算语言学 130 ACM SIGIR: The ACM Conference on Research and Development in Information Retrieval 信息检索方面最好的会议 , ACM 主办 , 每年开。 19 %左右 信息检索技术 131 ACM SIGKDD: The ACM Conference on Knowledge Discovery in Databases and Data Mining 数据挖掘方面最好的会议 , ACM 主办 , 每年开。 18 %左右 132 WWW: The ACM International World Wide Web Conference 应用和媒体领域顶级国际会议 万维网 133 ACM SIGMOD: ACM SIGMOD Conf on Management of Data 数据库领域顶级国际 数据管理 134 CIKM: The ACM Conference on Information and Knowledge Management 数据库领域知名国际会议 数据管理 135 COLING: International Conference on Computational Linguistics 计算语言学知名国际会议 计算语言学 136 ICML: International Conference on Machine Learning 领域顶级国际会议,录取率 25% 左右, 2 年一次,目前完全国内论文很少 机器学习,模式识别 137 IEEE ICDM: International Conference on Data Mining 数据挖掘领域顶级国际会议 138 IJCAI: International Joint Conference on Artificial Intelligence 人工智能领域顶级国际会议,论文接受率 18 %左右 人工智能 139 VLDB: The ACM International Conference on Very Large Data Bases 数据库领域顶级国际 数据库 140 SIGGRAPH: ACM SIGGRAPH Conference 计算机图形学顶级国际会议, ACM 主办,每年一次,几万人参加会议,论文录用率小于 20 % 计算机图形学 141 EUROGRAPHICS : The Annual Conference of the European Association for Computer Graphics 欧洲举办的国际图形学会议,面向世界。接受率现在也有差不多 20% 计算机图形学 142 AAAI: American Association for Artificial Intelligence 美国人工智能学会 AAAI 的年会,使该领域的顶级会议 人工智能 143 ACM Conference on Computer and Communications Security ACM 通信和计算健全领域顶级学术会议 信息安全 144 ACM SIGCOMM: Special Interest Group on Data Communications 数据通信 145 ACM SIGIR: The ACM Conference on Research and Development in Information Retrieval 信息检索领域的重要会议 信息检索 146 ACM SIGKDD: The ACM Conference on Knowledge Discovery in Databases and Data Mining ACM 旗舰会议之一,是数据库与知识管理的顶级学术会议。每年举办一次。 通信与网络 147 ACM SIGMETRICS: Conference on Measurement and Modeling of Computer Systems ACM 性能建模与评价领域顶级学术会议 通信与网络 148 ACM SIGMOD: ACM SIGMOD Conf on Management of Data 数据库与数据管理最顶级的学术会议,数据管理的主要发展都在这个会上有描述。 数据管理 149 ASPLOS: Architectural Support for Programming Languages and Operating Systems 编程语言和操作系统国际会议,涉及硬件体系结构、编译、操作系统、网格、应用的领域。 体系结构 150 CCGrid : IEEE/ACM International Symposium on Cluster Computing and the Grid 网格计算国际会议,网格平台、中间件 151 CIKM: The ACM Conference on Information and Knowledge Management 信息检索领域的会议,录用率为 15% 信息检索 152 CLUSTER - IEEE International Conference on Cluster Computing 集群计算国际会议,涉及中间件、网格算法及应用、资源管理、集成等。 集群计算 153 CPM: Combinatorial Pattern Matching Symposium 组合模式匹配年会,是字符串匹配、模式匹配较好的会议。 模式匹配 154 FAST4: Third USENIX Conference on File and Storage Technologies, USENIX 旗下关于文件和存储系统的顶级会议,会议于 2002 年召开第一届,召开地点都在美国加州。只有最好的工作能发表在 FAST 上。目前尚无大陆研究机构命中。 文件与存储 155 Grid : IEEE/ACM International Workshop on Grid Computing 网格计算国际会议,涉及计算模型、大规模数据访问和管理、资源管理和调度等。 网格计算 156 HPC: IEEE International Conference for High Performance Computing 157 HPDC: International Symposium on High Performance Distributed Computing 高性能分布计算国际会议,涉及告诉网格、分布计算、并行处理、大规模存储通信等领域。 高性能计算 158 ICDCS: IEEE International Conference on Distributed Computing Systems IEEE TCDP 发起的关于分布式处理领域的会议,最为老牌强会, ICDLS 举办了 26 届,录用率为 15% 。 分布式计算 159 ICML: International Conference on Machine Learning 机器学习领域中的顶级会议 机器学习 160 ICWS: IEEE International Conference on Web Services Web 服务国际会议,面向服务标准及规范、服务应用、语义服务等方面。 Web 服务 161 IEEE CSB: Computer Society Bioinformatics 162 IEEE ICDM: International Conference on Data Mining 数据挖掘领域的著名会议,率用率为 14% 。 数据挖掘 163 IEEE ICNP: International Conference on Network Protocols IEEE 网络通信领域顶级学术会议,录用率在 10% 左右。 网络 164 IEEE ICON: IEEE International Conference on Networks 165 IEEE INFOCOM: conference on computer communications IEEE 网络通信领域著名会议,领域广泛。 网络 166 IEEE IPCCC: International Performance Computing and Communications Conference IEEE 性能领域著名学术会议,主要关注性能评价。 网络性能 167 IEEE SPIRE: The IEEE International Symposium on String Processing and Information Retrieval 字符串处理与信息检索的年会,字符串匹配的主要进展都可以在这个会议上找到。 字符串处理信息检索 168 IJCAI: International Joint Conference on AI 人工智能领域的顶级会议。 人工智能 169 IMC: ACM-SIGCOMM/USENIX Internet Measurement Conference IMC: ACM-SIGCOMM/USENIX Internet Measurement Conference ACM SIGCOMM 网络特征领域重要会议,主要涉及网络流特征。 170 International Symposium on High Performance Computer Architecture(HPCA) 服务计算 171 IPDPS: IEEE International Parallel and Distributed Processing Symposium IEEE TCPD 牵头, IEEETCCA 、 TCDP 与 ACMSIGARH 合办的关于并行处理与分布式处理会。录用率 30% , 会议的优秀论文可能发表于 JDPC 杂志上。 并行计算分布式计算 172 ISMB: International conference on Intelligent Systems for Molecular Biology 无线 173 MobiCom: ACM/IEEE Conference on Mobile Computing and Networking 始于 1995 ,无线、移动计算方面比较有历史和重要的会议。 安全 174 MobiSys: The International Conference on Mobile Systems, Applications, and Services 无线方面, 2006 年第 4 名。 无线 175 OSDI: USENIX Symposium on Operating Systems Design and Implementation USENIX 操作系统领域重要会议,侧重操作系统各方面的新型技术。 操作系统 176 PAKDD: Pacific-Asia Conference on Knowledge Discovery and Data Mining 177 PDCAT: International Conference on Parallel and Distributed Computing, Applications and Technologies 关注并行于分布式计算领域众多问题的国际性会议,主要是亚太地区。 并行计算分布式计算 178 PKDD: Conference on Principles and Practice of Knowledge Discovery in Databases 数据挖掘领域的重要会议,录用率为 14% 。 数据挖掘 179 SCC: IEEE International Conference on Services Computing 服务计算国际会议,侧重服务模型、发现体系结构、服务安全、服务质量、服务语义方面的研究。 服务计算 180 SDM: SIAM International Conference on Data Mining 数据挖掘领域的重要会议,录用率为 14% 数据挖据 181 SOSE: IEEE International Workshop on Service-Oriented System Engineering 182 USENIX Sec: USENIX Security Symposium USENIX 安全领域重要会议,侧重安全技术。 安全 183 USENIX/ACM Symposium on Networked Systems Design and Implementation (NSDI) USENIX 网络领域重要会议,设计网络涉及各方面内容。 网络 184 VLDB: The ACM International Conference on Very Large Data Bases 数据管理 185 WWW: The ACM International World Wide Web Conference ACM 旗下关于互联网方面的重要会议,从 Web 服务器到互联网语义等研究问题一一包含其中。 15% 录用率。 Internet 186 RAID International Symposium on Recent Advances in Intrusion Detection 数据库顶级国际会议 187 IJCAI: International Joint Conference on Artificial Intelligence 人工智能顶级国际会议 人工智能 188 VLDB: The ACM International Conference on Very Large Data Bases 数据库顶级国际会议 数据库 189 ICML: International Conference on Machine Learning 机器学习顶级国际会议 机器学习 190 PRICAI: Pacific Rim International Conference on Artificial Intelligence 亚太人工智能国际会议 人工智能 191 IFIP ICIIP: IFIP International Conference on Intelligent Information Processing IFIP 智能信息处理国际会议 智能信息处理 192 NIPS: Neural Information Processing Systems 神经信息处理领域顶级国际会议 神经计算,机器学习 193 ISCA: International Symposium on Computer Architecture 体系结构领域的顶级会议 微处理器设计 194 International Symposium on Microarchitecture 体系结构领域的顶级会议 微处理器设计 195 HPCA : International Symposium on High Performance Computer Architecture 体系结构领域的顶级会议 微处理器设计 196 APCSAC: Asia-Pacific Computer Systems Architecture Conference 体系结构方面的重要会议 微处理器设计 197 ISLPED: International Symposium on Low Power Electronics and Design 低功耗设计的重要会议 微处理器设计 198 OSDI: Operation System Design Implementation 操作系统方面的重要会议 微处理器设计 199 ASPLOS: Architecture Support for Programming Languages and Operation 体系结构方面的顶尖会议 微处理器设计 200 ICCD: IEEE International Conference on Computer Design 体系结构方面的顶尖会议 微处理器设计 201 DAC: Design Automation Conference 设计自动化领域的顶级会议 微处理器设计 202 IEEE/ACM International Conference on Computer Aided Design(ICCAD) 集成电路设计自动化方面的顶尖会议 微处理器设计 203 ASP-DAC: Asia and South Pacific Design Automation Conference 设计自动化领域的重要会议 微处理器设计 204 ISSCC: IEEE International Solid-State Circuits Conference 设计自动化领域的重要会议 微处理器设计 205 CICC: Custom Integrated Circuits Conference 集成电路设计方面的顶尖会议(公认排名第二) 微处理器设计 206 ESSCIRC: European Conference on Solid-State Circuits 集成电路设计方面的顶尖会议 微处理器设计 207 Symposium on VLSI Circuits 集成电路设计方面的顶尖会议 微处理器设计 208 IEEE International ASIC/SOC Conference 集成电路设计方面的重要会议 微处理器设计 209 Symposium on VLSI Technology 集成电路设计方面的重要会议 微处理器设计 210 ASSCC: Asian Conference on Solid-State Circuits 集成电路领域重要会议 微处理器设计 211 MWSCAS: Midwest Symposium on Circuits and Systems 集成电路领域重要会议 微处理器设计 212 ICECS: IEEE International Conference on Electronics, Circuits and Systems : 集成电路领域重要会议 微处理器设计 213 ISCAS: International Symposium Circuit and System 电路与系统方面的重要会议 微处理器设计 214 RFIC: IEEE Symposium on Radio Frequency Integrated Circuits 射频集成电路领域顶尖会议 微处理器设计 215 ACM RECOMB: Int. Conference on Research in Computational Molecular Biology RECOMB 创办于1997年,每年举办一次,由ACM和/或国际计算生物学协会(ISCB)主办,强调计算生物学的数学和计算方面,近年录取率在20%左右。 计算生物学 216 IEEE CSB: Computer Society Bioinformatics CSB 创办于2002年,每年举办一次,2005年以前由IEEE协会主办,2006年开始改由生命科学协会(LSS)主办。 计算生物学 217 International Conference of the IEEE Engineering in Medicine and Biology Society ( EMBC ) 生物信息学与计算生物学国际研讨会 计算生物学 218 PSB: Pacific Symposium on Biocomputing PSB 创办于1996年,每年举办一次,从属于国际计算生物学协会(ISCB),由美国的研究机构组织举办。 计算生物学 219 WABI:Workshop on Algorithms in Bioinformatics WABI 创办于2000年,每年举办一次,由欧洲理论计算机协会(EATCS)和国际计算生物学协会(ISCB)主办,强调生物信息学的算法方面。 计算生物学 220 CSB: IEEE Computational Systems Bioinformatics Conference CSB 创办于2002年,每年举办一次,2005年以前由IEEE协会主办,2006年开始改由生命科学协会(LSS)主办 计算生物学 221 ISMB :Annual International Conference on Intelligent Systems for Molecular Biology ISMB 创办于1993年,每年举办一次,由国际计算生物学协会(ISCB)主办,在生物信息学领域影响最大,论文集通常作为Bioinformatics杂志的专刊发表,近年来录取率为15%左右。 生物信息 222 ECCB :European Conference on Computational Biology ECCB 创办于2002年,每年举办一次,由国际计算生物学协会(ISCB)主办,有时与ISMB联合举办,论文集通常作为Bioinformatics杂志的专刊发表,近年录取率在20%左右。 生物信息 223 APBC :Asia Pacific Bioinformatics Conference APBC 创办于2003年,每年举办一次,由亚太国家的研究机构组织举办,近年录取率在35%左右。 生物信息 224 COCOON :Annual International Computing and Combinatorics Conference COCOON 创办于1995年,每年举办一次,会议范畴为计算理论、算法、组合优化等,包括生物信息学方向,近年录取率在40%左右。 生物信息 225 CPM :Annual Symposium on Combinatorial Pattern Matching CPM 创办于1990年,每年举办一次,会议以串、树和图等复杂模式的搜索和匹配问题为主题,包括生物信息学方向,近年录取率在45%左右。 生物信息 226 EMBC :IEEE International Conference of the Engineering in Medicine and Biology Society EMBC 创办于1979年,每年举办一次,从属于IEEE医学与生物工程协会,会议内容覆盖范围很广,每年接收的论文数以千计。 生物信息 227 Geospatial Information and Technology Association ( GITA ) Annual Conference 地球空间信息与技术协会年会 遥感与空间信息处理 228 International Geoscience and Remote Sensing Symposium ( IGRSS ) 地球科学与遥感国际会议 遥感与空间信息处理 229 International Society for Photogrammetry and Remote Sensing ( ISPRS ) Technical Commission Symposium 国际摄影测量与遥感学会专业委员会会议 遥感与空间信息处理 230 International Conference on Geoinformatics 地球信息国际会议 遥感与空间信息处理 231 IEEE SKG (Semantics, Knowledge and Grid) 由计算所发起的IEEE国际会议,每年有100人参加。 知识网格 232 WWW: The ACM International World Wide Web Conference Internet 领域顶级国际会议 Internet 233 International Semantic Web Conference Semantic Web 领域顶级会议,录用率17% Semantic Web 234 ACM SIGMOD: ACM SIGMOD Conf on Management of Data ACM 的旗舰会议之一,也是数据库领域顶级学术会议,内容侧重于数据管理。 数据管理 235 ACM PODS Conference ACM 的旗舰会议之一,也是数据库领域顶级学术会议,内容侧重于数据管理基本理论。 数据管理 236 VLDB: The ACM International Conference on Very Large Data Bases 数据库顶级国际会议 数据管理 237 IEEE ICDE - International Conference on Data Engineering 数据库顶级国际会议 数据管理 中科院计算所会议
里面涉及大量的形状记忆合金的医学应用。 The International Conference on Shape Memory and Superelastic Technologies (SMST™) November 6-9, 2011 Gold Coast Hotel and Conference Center Hong Kong, China Conference: November 6-9, 2011 Exposition: November 7, 2011 Monday, November 7, 2011: 9:00 AM-9:40 AM Grand Ballroom A (Gold Coast Hotel ) Session Chair: Prof. Xiaodong Han 9:00 AM Nitinol: Hype, Confusion and Controversy Dr. Tom Duerig , Nitinol Devices Components 9:40 AM Concurrent Martensitic Transformation and Plastic Deformation in Superelasticity of NiTi Prof. Petr Sittner , Institute of Physics, Academy of Sciences of the Czech Republic; Jan Pilch , Institute of Physics, Academy of Sciences of the Czech Republic; Ludeck Heller , Institute of Physics, Academy of Sciences of the Czech Republic 10:10 AM Does Nitinol Require Surface Treatments Prior to Human Implantation? Dr. Kelvin Yeung , The University of Hong Kong Monday, November 7, 2011: 11:00 AM-1:00 PM Grand Ballroom A (Gold Coast Hotel ) Session Chair: Mr. John Boylan 11:00 AM A Superelastic Gradient Porous NiTi Alloy with Structure and Mechanical Properties Analogy to Human Bones Dr. Hao Li , South China University of Technology; Dr. Bin Yuan , South China University of Technology; Yan Gao , South China University of Technology; Dr. Jonathan C. Y. Chung , City University of Hong Kong; Dr. Min Zhu , South China University of Technology 11:20 AM Martensitic Transformation and Shape Memory Effect of NiCoMnSn High Temperature Shape Memory Alloy Dr. F. Chen , Harbin Engineering University; Y.X. Tang , Harbin Engineering University; B. Tian , Harbin Engineering University; Prof. Y.F. Zheng , Peking University 11:40 AM Study On Increased "Stiffness" In Binary and Ternary NiTi-Based Alloys Dr. Matthias Mertmann , Memry GmbH; Mr. Dennis W. Norwich , Memry Corporation; Mr. Frank Sczerzenie , SAES Smart Materials; Mr. Alberto Coda , SAES Getters S.p.A 12:00 PM A Review On Inclusions In NiTi Shape Memory Alloys Mr. Mustafa Rahim , Ruhr-University Bochum; Dr. Jan Frenzel , Ruhr-University Bochum; Prof. Gunther Eggeler , Ruhr-University Bochum 12:20 PM Lunch Monday, November 7, 2011: 11:00 AM-1:00 PM Grand Ballroom C (Gold Coast Hotel ) Session Chair: Dr. Andreas Wick 11:00 AM Effect of Annealing and Cold Work on Mechanical Properties of Beta III Titanium Alloy Dr. S. Cai , Fort Wayne Metals Research Products Corporation; D.M Bailey , Fort Wayne Metals Research Products Corporation; L.E Kay , Fort Wayne Metals Research Products Corporation 11:20 AM Characterization of NiTi Inclusions Mr. Alberto Coda , SAES Getters S.p.A; Marco Urbano , SAES Getters S.p.A; Mr. Dennis W. Norwich , Memry Corporation; Graeme Paul , SAES Smart Materials; Mr. Frank Sczerzenie , SAES Smart Materials 11:40 AM Effect of Aging On Martensitic Transformation Behavior of Ti48.8Ni50.8V0.4 Alloy Dr. Yunxiang Tong , Harbin Engineering University; C. Q Liang , Harbin Engineering University; F. Chen , Harbin Engineering University; L. Li , Harbin Engineering University; Y.F. Zheng , Harbin Engineering University 12:00 PM In Situ TEM Investigation of the Thickness Effect On the Deformation Behaviors In Nanocrystalline NiTi Alloys Shengcheng Mao , Institute of Microstructure and Property of Advanced Materials; Qingsong Deng , Institute of Microstructure and Property of Advanced Materials; Lihua Wang , Institute of Microstructure and Property of Advanced Materials; Feng Wang , Institute of Microstructure and Property of Advanced Materials; Prof. Xiaodong Han , Institute of Microstructure and Property of Advanced Materials; Ze Zhang , Zhejiang University; Dr. Ming H. Wu , Edwards Lifesciences 12:20 PM Lunch Monday, November 7, 2011: 2:00 PM-4:00 PM Grand Ballroom C (Gold Coast Hotel ) Session Chair: Mr. Jeff Brown 2:00 PM Comparative Study of Ni-Rich Nitinol Alloys with Macro-Alloyed Pd or Hf Additions Prof. Gregory B. Thompson , University of Alabama; Taisuke Sasaki , University of Alabama; B. Chad Hornbuckle , University of Alabama; Mr. Ronald D. Noebe , NASA Glenn Research Center; Mr. Glen Bigelow , NASA Glenn Research Center; Mark L. Weaver , University of Alabama 2:20 PM Characterisation of TiPt HTSM Alloy Powder Produced by Mechanical Alloying Dr. Silethelwe Chikosha , CSIR; H.K. Chikwanda , CSIR; Dr. Y. Yamabe-Mitarai , National Institute for Materials Science (NIMS) 2:40 PM Hot Deformation Behavior of NiTiHf Shape Memory Alloy Under Hot Compression Test Mr. Majid Belbasi , Iran University of Science Technology; mohammad Taghi salehi , Iran University of Science Technology 3:00 PM Life Prediction Method for Superelastic Nitinol in Safety Critical Medical Devices Dr. Ming Wu , Edwards Lifesciences; Dr. Hengchu Cao , Edwards Lifesciences; Fei Zhou , Edwards Lifesciences; Dr. Milton DeHerrera , Edwards Lifesciences 3:20 PM Effect of Cold Work and Annealed on Tensile Properties and Microstructure in Ti50Ni47Fe3 Shape Memory Alloy Mr. Wei JIN , Metal Research 3:40 PM Break Monday, November 7, 2011: 2:00 PM-4:00 PM Grand Ballroom A (Gold Coast Hotel ) Session Chair: Mr. John Boylan 2:00 PM Biomedical Porous Ni-Free Ti-Based Alloys with Superior Superelasticity and Mechanical Properties Dr. Bin Yuan , South China University of Technology; Ming Lai , South China University of Technology; Haifeng Gan , South China University of Technology; Yan Gao , South China University of Technology; Dr. Min Zhu , South China University of Technology 2:20 PM Occurrence of Special Boundaries in hot deformed NiTi Shape Memory Alloy Mr. K. S. Suresh , Indian Institute of Science 2:40 PM Load path dependency in Nitinol structures Mr. M. Van Zyl , University of Strathclyde 3:00 PM Complex-Shaped Implants Prepared by Selective Laser Melting Mrs. Therese Bormann , University of Basel; Mr. Ralf Schumacher , University of Applied Sciences Northwestern Switzerland; Mr. Bert Müller , University of Basel; Mr. Matthias Mertmann , Memry GmbH; Mr. Michael de Wild , University of Applied Sciences Northwestern Switzerland 3:20 PM Superelastic Deformation In Polycrystalline Fe-Ni-Co-Ti Alloys Dr. Anatoliy N. Titenko , Institute for Magnetism, National Academy of Sciences of Ukraine and Ministry of Education of Ukraine; Dr. Lesya D. Demchenko , National Technical University of Ukraine Kyyiv Polytechnic Institute 3:40 PM Break Monday, November 7, 2011: 4:00 PM-5:20 PM Grand Ballroom A (Gold Coast Hotel ) Session Chair: Prof. Xiaodong Han 4:00 PM Hydrothermal Growth Mechanism of Controllable Hydrophilic Titanate Nanostructures On Medical NiTi Shape Memory Alloy X. Rao , Southeast University; Prof. C. L. Chu , Southeast University; Prof. Paul K. Chu , City University of Hong Kong 4:20 PM On the Electropolishing and Surface Characteristics of Braided NiTi Shape Memory Alloy Stents Dr. Matthias Frotscher , Vascular Intervention; Ms. Elisa Kassab , Institute for Materials, Ruhr-Universitt Bochum; Mr. Fabian Schreiber , Institute for Textile Technology, RWTH Aachen; Dr. Lakshman Neelakantan , Institute for Materials, Ruhr-Universitt Bochum; Prof. Thomas Gries , Institute for Textile Technology, RWTH Aachen; Prof. Gunther Eggeler , Institute for Materials, Ruhr-Universitt Bochum 4:40 PM Functionalization of Ti-Nb-Hf-Zr Alloy Surface with a Protein-Based Polymer Dr. J. Pea , Elisava Escola Superior de Disseny; Ms. M. González , Elisava Escola Superior de Disseny; Mr. José Carlos Rodríguez-Cabello , Centro de Investigación Científica y Desarrollo Tecnológico (Universidad de Valladolid); F.J. Gil , Universitat Politècnica de Catalunya; J.M. Manero , Universitat Politècnica de Catalunya 5:00 PM Surface Analysis of Treated Nitinol Alloys Dr. Waseem Haider , Penn State University; Dr. Norman Munroe , Florida International University Monday, November 7, 2011: 4:00 PM-5:20 PM Grand Ballroom C (Gold Coast Hotel ) Session Chair: Dr. Andreas Wick 4:00 PM Production Process and Validation of SMA Actuators Based On R-Phase Transformation Mr. Konstantin Lygin , Ruhr-Universitt Bochum; Sven Langbein , Ruhr-Universitt Bochum; Tim Sadek , Ruhr-Universitt Bochum 4:20 PM Effects of Electropulsing Treatment On Shape Memory and Superelastic Properties of NiTi Shape Memory Alloy Ms. W. H. Cao , City University of Hong Kong; Dr. L. W. Ma , City University of Hong Kong; Mr. J. L. Zhang , City University of Hong Kong; Dr. C. H. Shek , City University of Hong Kong; Dr. C. Y. Chung , City University of Hong Kong 4:40 PM The Physical and Mechanical Properties of Nickel Titanium Shape Memory Alloys for High Temperature Actuators Mr. Frank Sczerzenie , SAES Smart Materials; Dr. Giorgio Vergani , SAES Smart Materials; Dr. Graeme Paul , SAES Smart Materials; Mr. Matt Long , SAES Smart Materials Tuesday, November 8, 2011: 9:00 AM-9:40 AM Grand Ballroom A (Gold Coast Hotel ) Session Chair: Dr. Ming H. Wu 9:00 AM Shape Memory and Superelastic materials in Spine and Orthopaedic Surgery Prof. Kenneth MC Cheung , The University of Hong Kong; KWK Yeung , The University of Hong Kong 9:30 AM Effect of Loading Frequency on Temperature and Stress Oscillations in Cyclic Phase Transition of NiTi Shape Memory Alloy Prof. Qingping Sun , Hong Kong University of Science and Technology; Hao Yin , Hong Kong University of Science and Technology 10:00 AM Elastic, superelastic and shape memory Dr. Jin-Lian Hu , The Hong Kong Polytechnic University; Yong Zhu , The Hong Kong Polytechnic University; Ling Lv , The Hong Kong Polytechnic University Tuesday, November 8, 2011: 11:00 AM-1:00 PM Grand Ballroom C (Gold Coast Hotel ) Session Chair: Dr. Ming H. Wu 11:00 AM Microstructural Aspects of THERMAL Fatigue Behavior of Shape Memory Alloys Microstructural Developments Through CONTROLLED Marforming Operations Mr. Ritwik Basu , Indian Institute of Technology Bombay 11:20 AM Fatigue Analysis of Nitinol Stent Dr. Xiao-Yan Gong , Medical Implant Mechanics LLC; Zhonghua Li , Cordis Corporation, a Johnson Johnson Company; Dr. Kenneth Perry , Echobio; Ms. Tina Morrison , FDA Peripheral Vascular Branch ; Mr. Alan R. Pelton , Nitinol Devices Components 11:40 AM Bending Fatigue Behaviour of Cu-Al-Mn Shape Memory Alloy Dr. Kazuhiro Kitamura , Aichi University of Education 12:00 PM Lunch Tuesday, November 8, 2011: 11:00 AM-1:00 PM Grand Ballroom A (Gold Coast Hotel ) Session Chair: Prof. Petr Sittner 11:00 AM Tuning Surface Integrity of Biomedical Nitinol Alloy by Low Plastic Burnishing Dr. Y.B. Guo , The University of Alabama; J.E. McKinney , The University of Alabama; Michael P. Sealy , The University of Alabama 11:20 AM Selective Laser Melting of Porous Nickel-Titanium As a Carrier for Human Mesenchymal Stem Cells Dr. Tim Habijan , Universittsklinik Bergmannsheil; Mr. Christoph Haberland , Ruhr University Bochum; Prof. Horst Meier , Ruhr University Bochum; Dr. Jan Frenzel , Ruhr University Bochum; Prof. Thomas A. Schildhauer , Universittsklinik Bergmannsheil; Prof. Manfred Kller , Universittsklinik Bergmannsheil 11:40 AM Single Cycle Pseudo Elastic Pre-Deformation and Long-Term Ni-Release From NiTi Wire Dr. Andreas Undisz , Massachusetts Institute of Technology; Katharina Freiberg , Friedrich-Schiller-University; Dr. Sibylle Bremer-Streck , Friedrich-Schiller-University; Dr. Michael Kiehntopf , Friedrich-Schiller-University; Prof. Markus Rettenmayr , Friedrich-Schiller-University 12:00 PM Lunch Tuesday, November 8, 2011: 2:00 PM-4:00 PM Grand Ballroom A (Gold Coast Hotel ) Session Chair: Dr. C. Y. Chung 2:00 PM Product Exhibition 2:20 PM A Randomized Controlled Trial Assessing the Safety and Efficacy of a Novel Superelastic Rod In Comparison to Conventional Titanium Rod for Scoliosis Correction Prof. Kenneth MC Cheung , The University of Hong Kong; Dr. Evelyn E. Kuong , The University of Hong Kong; Dr. Dino Samartzis , The University of Hong Kong; Dr. Kelvin Yeung , The University of Hong Kong; Prof. Keith DK Luk , The University of Hong Kong 2:40 PM Treatment of Nonunion of Scaphoid Waist with Ni-Ti Shape Memory Alloy Connector and Iliac Bone Graft Lie-Hu Cao , Changhai Hospital, The Second Military Medical University; Dr. Shuo-Gui Xu , Changhai Hospital, The Second Military Medical University 3:00 PM Use of a Shape-Memory Rotary Actuator In Neurological Research Dr. Stefano Viscuso , CNR IENI Institute for Energetics and Interphases - Italian National Research Council; Dr. Simone Pittaccio , CNR IENI Institute for Energetics and Interphases - Italian National Research Council; Dr. Filippo Zappasodi , Università "G. D'Annunzio"; Gabriella Tamburro , Università "G. D'Annunzio"; Dr. Vittorio Pizzella , Università "G. D'Annunzio"; Dr. Cosimo Del Gratta , Università; Prof. Gian Luca Romani , Università 3:20 PM A Continuous Rotatory Motor Actuated by Multiple Segments of Shape Memory Alloy Wires Dr. Xiaoyong Zhang , Beihang University; Prof. Xiaojun Yan , Beihang University 3:40 PM Break Tuesday, November 8, 2011: 2:00 PM-4:00 PM Grand Ballroom C (Gold Coast Hotel ) 2:00 PM Product Exhibition 2:20 PM Evaluation of Shape Memory Effect In Cu-Zn-Ni Shape Memory Alloy Mr. S. Sathish , Dr.Ambedkar Institute Of Technology; Dr.U S Mallik , Siddaganga Institute of Technology; T. N. Raju , Dr.Ambedkar Institute Of Technology 2:40 PM The Measurement of Total Inclusion Content In Nickel-Titanuim Alloys Mr. Frank Sczerzenie , SAES Smart Materials; Graeme Paul , SAES Smart Materials; Giorgio Vergani , SAES Smart Materials; Clarence Belden , SAES Smart Materials 3:00 PM Characterization of Dynamic Mechanical Behavior and Microstructure of Biomedical Nitinol Dr. Y.B. Guo , The University of Alabama; J.Z. Snyder , The University of Alabama; J.E. McKinney , The University of Alabama 3:20 PM Damping Behavior of NiMnGa/Resin Composites Under Magnetical Field Dr. Xiaogang Sun , State Key Laboratory of MMCs, Shanghai Jiao Tong University;School of Engineering, Hunan Institute of Engineering; Prof. Chaoying Xie , State Key Laboratory of MMCs, Shanghai Jiao Tong University 3:40 PM Break Tuesday, November 8, 2011: 4:00 PM-5:20 PM Grand Ballroom C (Gold Coast Hotel ) Session Chair: Dr. Ming H. Wu 4:00 PM Nitinol Fatigue Life for Variable Strain Amplitude Fatigue Dr. Z.C. Lin , Abbott Vascular Inc.; Mr. Kelly Pike , Abbott Vascular Inc.; Mr. Martin Schlun , Bard Peripheral Vascular; Dr. Achim Zipse , Bard Peripheral Vascular; Dr. John Draper , Safe Technology Limited 4:20 PM Fracture of Polymer Coated Nitinol During Gamma Sterilization Mr. Dennis W. Norwich , Memry Corporation 4:40 PM Influence of Varying Ingot Transformation On Fatigue Endurance of Nitinol Alloys Mr. David Plumley , Fort Wayne Metals; Ms. Kate Whitacre , Fort Wayne Metals 5:00 PM Wear Properties of Three Dimensional Porous NiTi Shape Memory Scaffold for Orthopaedic Implants Dr. Shuilin Wu , City University of Hong Kong; Dr. Xiangmei Liu , Hubei University; Dr. Kelvin Yeung , The University of Hong Kong; Dr. G.S. Wu , City University of Hong Kong; Dr. Dong Zheng , Huazhong University of Science and Technology; Prof. Z.S. Xu , Hubei University; Prof. Chi Yuen Chung , City University of Hong Kong; Prof. Paul K. Chu , City University of Hong Kong Tuesday, November 8, 2011: 4:00 PM-5:20 PM Grand Ballroom A (Gold Coast Hotel ) Session Chair: Dr. Matthias Mertmann 4:00 PM Biomechanical Study of Anatomical Reconstruction of Posterior Wall of the Acetabulum Dr. Shuo-Gui Xu , Changhai Hospital, The Second Military Medical University; Chun-Cai Zhang , Changhai Hospital, The Second Military Medical University 4:20 PM Preparation and Characterization of NiTi-Based Implants for Cranio-Maxillo-Facial Surgery Dr. Zdzisław H. Lekston , University of Silesia; Dr. Magdalena A. Jędrusik-Pawłowska , Medical University of Silesia; Danuta Stróż , University of Silesia 4:40 PM Implementation, Testing and Clinical Evaluation of New Therapeutic Splints Based On the Pseudoelastic Properties of NiTi and NiTiNb Lorenzo Garavaglia , CNR IENI Institute for Energetics and Interphases - Italian National Research Council; Stefano Viscuso , CNR IENI Institute for Energetics and Interphases - Italian National Research Council; Dr. Elena Beretta , IRCCS “E. Medea”, Associazione “La Nostra Famiglia”; Dr. Sandra Strazzer , CNR IENI Institute for Energetics and Interphases - Italian National Research Council; Giuseppe Stefanoni , CNR IENI Institute for Energetics and Interphases - Italian National Research Council; Dr. Anna Carla Turconi , CNR IENI Institute for Energetics and Interphases - Italian National Research Council; Dr. Simone Pittaccio , CNR IENI Institute for Energetics and Interphases - Italian National Research Council 5:00 PM Low Temperature Shape Setting of NiTi Wires and Textiles for Medical Devices Prof. Petr Sittner , Institute of Physics, Academy of Sciences of the Czech Republic; Ludek Heller , Institute of Physics, Academy of Sciences of the Czech Republic; Jan Pilch , Institute of Physics, Academy of Sciences of the Czech Republic; Dr. R. Delville , University of Antwerp; Caroline Curfs , ESRF; B. Malard , SIMAP Wednesday, November 9, 2011: 9:00 AM-9:40 AM Grand Ballroom A (Gold Coast Hotel ) Session Chair: Prof. Petr Sittner 9:00 AM Triple-Shape Memory Effect and Self-Healing Using NiTi Shape Memory Alloys Dr. WM Huang , Nanyang Technological University; C.C. Wang , Nanyang Technological University; C. Tang , Nanyang Technological University; Z. Ding , Nanyang Technological University 9:40 AM Understanding the Biocompatibility of Nitinol Biomedical Devices Dr. Alan Pelton , NDC; C. Trepanier , NDC; J. Fino-Decker , NDC; K. Miyashiro , NDC Wednesday, November 9, 2011: 11:00 AM-1:00 PM Grand Ballroom A (Gold Coast Hotel ) 11:00 AM The Embrittlement of Nitinol Medical Devices During Sterilization Mr. Stuart Smith , Metallurgical Solutions; Mr. Masao J. Drexel , Confirmd LLC 11:20 AM Effect of Electrical Heating Conditions On Functional Fatigue of Thin NiTi Wire for Shape Memory Actuators Dr. Riccardo Casati , National Research Council - Institute for Energetics and Interphases (CNR-IENI); Dr. Francesca Passaretti , National Research Council - Institute for Energetics and Interphases (CNR-IENI); Dr. Ausonio Tuissi , National Research Council - Institute for Energetics and Interphases (CNR-IENI) 11:40 AM Correlation between NiTi inclusions and fatigue: multivariate analysis of rotary bending fatigue Mr. Marco Urbano , SAES Getters S.p.A 12:00 PM Lunch Wednesday, November 9, 2011: 11:00 AM-1:00 PM Grand Ballroom C (Gold Coast Hotel ) Session Chair: Mr. Alan R. Pelton 11:00 AM Fabrication and Characterisation of Nanocrystalline NiTiCu10 Shape Memory Alloy by Mechanical Alloying Mr. Morteza Ghadimi , K.N. Toosi University of Technology; Prof. Ali Shokuhfar , K.N. Toosi University of Technology; Mr. Ashkan Zolriasatein , K.N. Toosi University of Technology; Mr. Mohammad reza Rezvani , K.N. Toosi University of Technology; Mr. Mohammad Ghaffari , Nanyang Technological University; Mr. Hamidreza Rostami , Science Research Branch, Islamic Azad University 11:20 AM Hot Isostatic Pressing of NiTi-X (X=Ag, Nb, W) Alloys for Coupling Elements Dr. Martin Bram , Forschungszentrum Juelich; Martin Bitzer , Forschungszentrum Juelich; Hans Peter Buchkremer , Forschungszentrum Juelich; Detlev Stver , Forschungszentrum Juelich 11:40 AM Ultra-High-Damping Metal Matrix Composites Based On Powders of Shape Memory Alloys Prof. Jose San Juan , Universidad del Pais Vasco; Mariano Barrado , Universidad del Pais Vasco; Gabriel López , Universidad del Pais Vasco; Prof. Maria L. Nó , Universidad del Pais Vasco 12:00 PM Effects of ECAP Process and Aging On Mechanical and Superelastic Behaviors of Ni-Free Ti Based Smas Prof. Chaoying xie , Shanghai Jiao Tong University; Jie Song , Shanghai Jiao Tong University; Xiaoning Zhang , Shanghai Jiao Tong University; Dr. Ming H. Wu , Edwards Lifesciences 12:20 PM The Effect of Fe on The Phase Transformation and Magnetic Property of Ni-Mn-Ga Powders Prepared by Ball Milling Dr. B. Tian , Harbin Engineering University; F. Chen , Harbin Engineering University; Y.W. Tong , Harbin Engineering University; Prof. Y.F. Zheng , Peking University 12:40 PM Lunch Wednesday, November 9, 2011: 2:00 PM-4:00 PM Grand Ballroom A (Gold Coast Hotel ) Session Chair: Dr. Tom Duerig 2:00 PM In Vivo Evaluation of the Biocompatibility of Biodegradable Shape-Memory Polymers (BSMP) Xia Hua , Changhai Hospital, The Second Military Medical University; Dr. Shuo-Gui Xu , Changhai Hospital, The Second Military Medical University 2:20 PM Strengthening Mechanisms In Solution-Annealed Ni-Rich NiTi Alloys Mr. B. Chad Hornbuckle , University of Alabama; Taisuke Sasaki , University of Alabama; Mr. Ronald D. Noebe , NASA Glenn Research Center; Mr. Glen Bigelow , NASA Glenn Research Center; Mark L. Weaver , University of Alabama; Gregory B. Thompson , University of Alabama 2:40 PM Addition of Cu to Ternary Ni 47 Ti 50 Fe 3 Shape Memory Alloy Ms. Chandra Bhanu Basak , Bhabha Atomic Research Centre; Ms. Meenu Sharma , Bhabha Atomic Research Centre; Bikas C. Maji , Bhabha Atomic Research Centre; Dr. Madangopal Krishnan , Bhabha Atomic Research Centre 3:00 PM Bending Fatigue Properties of Precision Cast TiNi Shape Memory Alloy for Brain Spatula Mr. Kento Mitsui , Aichi Institute of Technology; Prof. Hisaaki Tobushi , Aichi Institute of Technology; Mr. Kouji Miyamoto , Aichi Institute of Technology; Dr. Kazuhiro Kitamura , Aichi University of Education; Mr. Yukiharu Yoshimi , Yoshimi Inc. 3:20 PM An Overview of Porous TiNi (PTN) As a Candidate for Orthopedic Material Mr. Hokuto Aihara , PorOsteon Inc.; Dr. Tom Duerig , Nitinol Devices Components 3:40 PM Break Wednesday, November 9, 2011: 2:00 PM-4:00 PM Grand Ballroom C (Gold Coast Hotel ) Session Chair: Dr. Alan Pelton 2:00 PM Effect of Co Addition On the Microstructure, Martensitic Transformation and Shape Memory Behavior of Fe-Mn-Si Alloys Mr. Bikas C. Maji , Bhabha Atomic Research Centre; Dr. Madangopal Krishnan , Bhabha Atomic Research Centre 2:20 PM NiMnGa Wires Produced by the In Rotating Water Melt-Spinning Technique Dr. Xiaogang Sun , State Key Lab of Metal Matrix Composite, Shanghai Jiao Tong University; Chaoying Xie , School of Engineering, Hunan Institute of Engineering 2:40 PM The Laws of Generation and Relaxation of the Spherical Segments of Ti-Ni Shape Memory Alloys Dr. Andrey B. Bondarev , Industrial center “MATEKS”; Prof. Mikhail A. Khusainov , Yaroslav the Wise Novgorod State University; Vladimir A. Andreev , Industrial center "MATEKS" 3:00 PM Superelastic Porous NiTi with Adjustable Porosities Synthesized by Powder Metallurgical Method Dr. Shuilin Wu , City University of Hong Kong; Dr. Xiangmei Liu , Hubei University; Dr. Kelvin Yeung , The University of Hong Kong; Dr. G.S. Wu , City University of Hong Kong; Dr. Dong Zheng , Huazhong University of Science and Technology; Prof. Z.S. Xu , Hubei University; Prof. Chi Yuen Chung , City University of Hong Kong; Prof. Paul K. Chu , City University of Hong Kong 3:20 PM Break Wednesday, November 9, 2011: 4:00 PM-5:20 PM Grand Ballroom A (Gold Coast Hotel ) Session Chair: Dr. Tom Duerig 4:00 PM Superelasticity and Shape Memory In Micro and Nano Devices of Cu-Al-Ni SMA Prof. Jose San Juan , Universidad del Pais Vasco; Prof. Maria L. Nó , Universidad del Pais Vasco; Christopher A. Schuh , Massachusetts Institute of Technology 4:20 PM Experimental Study On Feasibility of Sutureless Anastomosis by a New Biodegradable Shape Memory Vascular Anastomosis Device Qiong Zhang , Changhai Hospital, The Second Military Medical University; Dr. Shuo-Gui Xu , Changhai Hospital, The Second Military Medical University; De-Ding Liu , Changhai Hospital, The Second Military Medical University 4:40 PM Repeated Instant Self-Healing Shape Memory Composite Dr. WM Huang , Nanyang Technological University 5:00 PM Development of An Adjusting Mechanism for An Air Flap Based On R-Phase Transformation Mr. Konstantin Lygin , Ruhr-Universitt Bochum; Sven Langbein , Ruhr-Universitt Bochum; Tim Sadek , Ruhr-Universitt Bochum Wednesday, November 9, 2011: 4:00 PM-5:20 PM Grand Ballroom C (Gold Coast Hotel ) Session Chair: Dr. C. Y. Chung 4:00 PM Mechanical Behavior of Shape Memory Alloys Under Complex Loading Conditions of Stress, Strain and Temperature Hiroki Cho , Oita University; Prof. Akihiko Suzuki , Oita University; Takaei Yamamoto , Oita University; Toshio Sakuma , Oita University 4:20 PM Mechanical Behavior and Martensitic Transformation of a Ti-Mo Based Shape Memory Alloy Dr. Song Jie , School of Materials Science and Engineering, Shanghai Jiao Tong University; Dr. Xiaogang Sun , State Key Lab of Metal Matrix Composite, Shanghai Jiao Tong University; Xiaoning Zhang , School of Materials Science and Engineering, Shanghai Jiao Tong University; Prof. Xie Chaoying , School of Materials Science and Engineering, Shanghai Jiao Tong University; Dr. Ming H. Wu , Edwards Lifesciences 4:40 PM A New Approach to Estimate Heat Variations During A Thermal Induced Phase Transformation On A NiTi SMA Mr. Vincent Delobelle , Université de Grenoble (UJF, G-INP); Prof. Hervé Louche , Université Montpellier 2; Prof. Denis Favier , Université de Grenoble (UJF, G-INP)
文/李 娜 (详细图文报道请见 http://blog.sciencenet.cn/home.php?mod=spaceuid=1456do=blogid=503915 ) 很多时候,公众了解一位科学家,是从他们成名开始的,比如他们完成了万众瞩目的工作,比如他们获得了国家最高科学技术奖,比如他们入选了两院院士…… 从见证积年辛劳的奖杯和白发中,从抚今思昔的追忆里,我们忍不住重构科学家们青年时代的画面:无论在安静的实验室、荒凉的野外甚至浩瀚的太空,他们的脸上都有同一种专注的表情;在国际会议上,或许有过指点江山的激扬,或许也会紧张到尾音发颤;与敬仰的前辈意见相左时,或许也在深夜里纠结,相信权威还是坚持自我;或许因为工作压力抱怨过,可一旦遭遇从未有人了解的新发现,也不禁涌上一股“世界就在我手中”的狂喜与满足…… 重构的同时,又忍不住深深遗憾——我们显然错过了他们最精彩的青年时代。科学家们为了更完善地认识和服务人类自身,做着无可取代的工作,在他们最能展现创造力之美、最恣意挥洒激情和热血的人生阶段,没有足够的分享和鼓励也是一种缺失吧?既然如此,那我们就没有理由错过当下的青年科学家群体。 可以说,青年科学家是一个特别的群体。他们承担着科研重担,但却低调静默。进入公众视野不多、热点科技事件中也不大容易听到他们的声音,他们更多是在实验室里享受科研的乐趣,或者承受科研的压力。但这也是一个最具创造力和进取精神的群体,他们做出的工作那么令人惊叹,因为年轻,他们未来的科研版图说不定能画多远。若干年后的科研领军人物,就是来自这个群体。 但是,我们对这个群体的关注并不够,尤其是来自公众领域的关注缺失。因为大家似乎都习惯了水到渠成的等待。 公众和媒体往往等待科学家获得了最耀眼的荣誉再去关注和追逐。国家更多是在科学家们成名之后,再给予重大的奖励和更多的资助。而科学家往往也习惯了等待,等待磨砺多年取得了重大成绩之后,似乎就可以松口气,心安理得地享受鲜花和掌声。 这恐怕是长期形成的一种扭曲逻辑。公众和媒体应该给青年科学家更多的关注和爱护,肯定和支持他们;国家应该给青年科学家更多资助和更宽松的空间,鼓励他们的创造,宽容他们的失败;年轻的科学家们似乎也应以更加开放的心态,与科学共同体内外进行良性互动,这样或许能转移部分压力,让他们更轻松地工作。(当然,有人喜欢低调的自由,但谁能否认不一样的心态可能给人生打开一扇全新的门?) 青年科技工作者代表了中国科技的未来,我们愿意把这个群体中的优秀代表推进公众视野,让大家了解他们的工作,感受他们的风采。我们特别策划了本期专稿:邀请中国一流高校、科研院所的校长、院(所)长们推荐本单位40岁以下的优秀青年科学家(每个单位仅限1名),介绍他们的研究进展和成果。 当然,本文所推介的14位青年科学家(排名不分先后)一定没有涵盖全部,我们也不敢保证他们日后都会成为本领域的领军人物,因为将来极可能有更优秀的人出现。只是他们现在所做的闪耀着智慧光芒的工作,已令人忍不住击节赞叹。若干年后,如果你看到他们中某一位成为本领域的领军人物,那你可以庆幸地说,我见证过他的青年时代。 北京大学 朴世龙 朴世龙,1976年生于吉林龙井,1995年进入北京大学城市与环境学系学习,2004年获博士学位。2004年到法国气候与环境变化研究所从事博士后研究工作。2007年回国到北京大学城市与环境学院任教,现为北京大学教授。 朴世龙主要从事碳循环方面研究,在全球气候变化与陆地生态系统相互作用方面取得了一系列重要科研成果,包括:阐明了秋季温度上升将加速北半球陆地碳储量的释放,并从动态的角度阐明了陆地生态系统碳汇分布机制;重新估算了大气CO2浓度上升以及土地利用变化对全球水分径流的影响,修正了英国科学家发表在Nature上的研究结果;开展了中国及北半球植被时空变化的研究,提出了北美西北部地区自20世纪90年代以来植被生产力下降与该地区春季温度下降密切相关;系统研究了中国陆地生态系统的碳储量变化及其机制,并采用自上而下的大气反演模型和自下而上的过程模型及地面资料有机结合的途径,评价了中国陆地生态系统碳汇的现实状况及其不确定性;应邀在Nature杂志上发表了有关气候变化对中国水资源和农业影响的研究进展综述论文。 代表性研究论文 1. Wang X H, Piao S L, Ciais P, Li J S, Fredlingstein P, Koven C, Chen A P. Spring temperature change and its implication in the change of vegetation growth in North America from 1982 to 2006 . PNAS, 2011, 108: 1240-1245. 2. Piao S L, Ciais P, Huang Y, Shen Z H, Peng S S, Li J S, Zhou L P, Liu H Y, Ma Y C, Ding Y H, Friedlingstein P, Liu C Z, Tan K, Yu Y Q, Zhang T Y, Fang J Y. The impacts of climate change on water resources and agriculture in China . Nature, 2010, 467: 43-51. 清华大学 刘磊 刘磊,1977年生于安徽滁州,1999年于中国科学技术大学获学士学位。1999—2004年在美国Columbia大学化学系攻读博士学位,跟随Ronald Breslow教授研究氨基酸代谢酶的人工模拟。2004—2007年在美国Scripps研究所从事博士后工作,跟随Chi-Huey Wong教授研究糖蛋白与糖类药物的化学合成。2007年进入清华大学工作,现为化学系教授,负责本科生《化学生物学》课程的教学工作。 刘磊的专业领域为生物有机化学,重点研究蛋白质的化学合成。发现了多肽酰肼连接反应,并据此发展出蛋白质全合成与半合成的新技术;发明了不可逆硫氮酰基迁移树脂,并据此发展出多肽硫酯制备的新方法;此外在环状蛋白、膜蛋白、糖蛋白等的合成中发展出一些新技术。这些成果得到了专业同行们的认可,部分技术在企业(Bayer Schering Pharma)中得到了应用。此外,也研究一些生物活性小分子的催化合成,发展了一些新的有机反应。 代表性研究论文 1. Fang G M, Li Y M, Shen F, Huang Y C, Li J B, Lin Y, Cui H K, Liu L. Protein chemical synthesis by ligation of peptide hydrazides . Angew Chem Int Ed, 2011, 50: 7645-7649. 2. Zheng J S, Chang H N, Wang F L, Liu L. Fmoc synthesis of peptide thioesters without post-chain-assembly manipulation . J Am Chem Soc, 2011, 133: 11080-11083. 复旦大学 张远波 张远波,1978年生于河南信阳,2000年获北京大学技术物理系学士学位。2000—2006年在美国哥伦比亚大学物理系攻读博士学位,师从Philip Kim教授进行石墨烯研究。2006年获美国加州大学伯克利分校Miller Fellowship,开展为期3年的博士后研究。2010年获聘IBM Almaden Research Center博士后研究员,从事低温扫描隧道显微及能谱研究。2011年正式加入复旦大学,任特聘教授。 张远波从事实验凝聚态物理研究,主要关注低维度电子体系的量子现象,在石墨烯的研究中取得了一系列重要成果。这些成果包括:发现了单层石墨烯中的半整数反常量子霍尔效应,进而观测到这种量子霍尔效应在室温下也存在,这些是石墨烯研究中里程碑式的发现;发现利用新颖的双门极器件结构和外电场能够在双层石墨烯中打开一个连续可调的能隙,并第一次对这个能隙进行实验观测;发展了低温超高真空中扫描隧道显微镜对微纳样品进行表征的实验手段,在原子尺度研究石墨烯隧道能谱和空间电荷密度不均匀性;利用高精度的电子束刻蚀的纳米加工技术,用单层的石墨烯制备了纳米线作为石墨烯纳米器件的原型。以上工作在基础和应用两方面推动了国际上对石墨烯的研究。 代表性研究论文 1. Zhang Y, Tan Y W, Stormer H L, Kim P. Experimental observation of the quantum Hall effect and Berry's phase in graphene . Nature, 2005, 438: 201-204. 2. Zhang Y, Tang T T, Girit C, Hao Z, Martin M C, Zettl A, Crommie M F, Shen Y R, Wang F. Direct observation of a widely tunable bandgap in bilayer graphene . Nature, 2009, 459: 820-853. 上海交通大学 冯新亮 冯新亮,1980年生于安徽歙县,2004年获得上海交通大学硕士学位,同年赴德国马普高分子研究所深造,2008年获博士学位。2011年受聘于上海交通大学,现任教授。 冯新亮的研究方向涵盖高分子、有机、物理和材料化学等多个交叉领域,主要学术成果包括:首次报道了自下而上化学可控宏量制备高质量、无缺陷且边缘结构确定的单根石墨烯纳米带;首次报道了以氧化石墨烯为模板来复制合成其他二维功能材料及其在能源存储领域的关键应用;首次研究合成了新型的具有三倍对称性、三角形分子以及锯齿边缘的盘状石墨烯片段,从化学角度提供了纳米石墨烯的新型合成路线和设计方法;首次提出和证明了控制盘状液晶分子空间螺旋堆积排列结构对一维载流子传导体系在有机电子学性能的至关重要影响;开拓了以氧化石墨烯或者纳米石墨烯分子为前驱体制备高导电率石墨烯膜的新技术;创造性地研究了基于有机和有机金属前驱体的结构可控的高分子多孔材料、石墨烯和碳/金属杂化材料,为新型高效储氢,锂离子电池,电容器和燃料电池材料的研究提供了新的思路。 代表性研究论文 1. Cai J, Ruffieux P, Jaafar R, Bieri M, Braun T, Blankenburg S, Muoth M, Seitsonen A P, Saleh M, Feng X L, Müllen K, Fasel R. Atomically precise bottom-up fabrication of graphene nanoribbons . Nature, 2010, 466: 470-473. 2. Liu R L, Wu D Q, Feng X L, Müllen K. Nitrogen-doped ordered mesoporous graphitic arrays (NOMGAc) with high electrocatalytic activity for oxygen reduction . Angew Chem Int Ed, 2010, 49: 2565-2569. 中国科学技术大学 傅尧 傅尧,1977年生于山东莱州。1996进入中国科学技术大学化学系学习,2005年获化学博士学位,同年通过优秀人才引进绿色通道留校任教,现为教授。 傅尧主要从事物理有机化学和绿色可持续化学研究,他运用理论与实验相结合的研究手段,通过发展基于化学精度计算的理论方法,系统研究了有机化合物的构效关系和化学反应机理,为发现绿色合成新反应提供了理论依据,同时将有机催化中的新方法引入生物质等可再生资源的利用领域,成功地实现了纤维素基γ-戊内酯的高效催化转化,关键技术成果已申报中国发明专利和PCT国际专利,应邀为Energy Environmental Science杂志撰写磁性固体酸解聚纤维素的进展综述论文,未来将继续致力于发展机理导向的绿色有机反应,以期实现由生物质制备高附加值化学品和能源平台分子,为中国的农林废弃物利用和节能减排领域提供新的创新思路。 代表性研究论文 1. Deng L, Li J, Lai D M, Fu Y, Guo Q X. Catalytic conversion of biomass-derived carbohydrates into gamma-valerolactone without using an external H2 supply . Angewandte Chemie-International Edition, 2009, 48(35): 6529-6532. 2. Lai D M, Deng L, Guo Q X, Fu Y. Hydrolysis of biomass by magnetic solid acid . Energy Environmetal Science, 2011, 4(9): 3552-3557. 浙江大学 周昆 周昆,1977年生于湖南岳阳。1993年进入浙江大学计算机系学习,2002年获博士学位。2002—2008年在微软亚洲研究院工作,历任副研究员、研究员和研究主管。2008年全职回到浙江大学工作,现任教授。 周昆的研究领域为计算机图形学和并行计算。近年来在微分域几何编辑方法、真实感图形实时绘制、GPU并行编程语言和并行计算方法等方向取得多项创新成果:提出并完善了微分域几何编辑方法,直接修改几何模型的微分属性,然后用全局优化从修改过的微分属性重构出新几何模型;提出了任意复杂光源下动态场景(包括动态几何、动态反射材质、动态折射介质等)的真实感实时绘制框架和算法;研制了基于批量同步的GPU编程语言BSGP及其编译器,并研制了基于GPU中断的GPU程序调试系统,大幅度提高了GPU并行软件的开发效率;研制了国际上首个完全运行在GPU上的渲染软件RenderAnts,使得高清动画渲染速度提高10倍以上,大幅度提高了高清动画的生产效率。2009年起担任ACM Transactions on Graphics编委,是该期刊创刊以来首位华人编委。 代表性研究论文 1. Zhou K, Hou Q M, Wang R, Guo B N, Real-time KD-tree construction on graphics hardware . ACM Transactions on Graphics, 2008, 27(5): 126. 2. Zhou K, Hou Q M, Ren Z, Gong M M, Sun X, Guo B N. RenderAnts: interactive Reyes rendering on GPUs . ACM Transactions on Graphics, 2009, 28(5): 155. 华中科技大学 沈国震 沈国震,1976年生于安徽蚌埠,2003年于中国科学技术大学获博士学位。2004—2005年在韩国汉阳大学从事博士后研究;2005—2007年在日本国立材料研究所纳米材料研究中心任特别研究员;2007—2009年在美国南加州大学电子工程系从事研究工作。2009年回国任华中科技大学/武汉光电国家实验室教授。 沈国震主要研究领域为纳米技术与新型纳米光、电子器件,工作涉及纳米功能材料可控合成与组装及纳米光电器件的研制,全透明柔性显示技术,新型可再生能源技术等;回国后致力于开发以纳米功能材料为基元的新型纳米光电子器件的基础研究与应用研制开发工作,带领团队开发出多种新型全透明薄膜晶体管,该类器件在超快、超薄、省电、高清晰、全透明、高柔性下一代显示技术上有重要应用前景。设计并研发了多种纳米敏感器件,如高灵敏度有毒有害气体传感器、高灵敏度紫外光探测器等。 代表性研究论文 1. Shen G Z, Liang B, Wang X F, Huang H T, Chen D, Wang Z L. Ultrathin In2O3 nanowires with diameters below 4 nm: Synthesis, reversible wettability switching behavior and transparent thin-film transistor applications . ACS Nano, 2011, 5: 6148-6155. 2. Shen G Z, Xu J, Wang X F, Huang H T, Chen D. Growth of directly transferable In2O3 nanowire mats for transparent thin-film transistor applications . Advanced Materials, 2011, 23: 771-775. 南京大学 陆延青 陆延青,1971年生,1996年于南京大学物理学系获博士学位,同年留校任教。2000—2006年在美国Chorum Tech等单位从事研发工作,2006年底返回南京大学,现任现代工程与应用科学学院长江特聘教授。 陆延青兼具基础研究背景与产业界经验,形成了理工结合的交叉型研究特色,在介电体光学超晶格、微纳光纤传感、液晶光学器件等领域,做出了一批有特色的创新性工作。他在闵乃本院士指导下,揭示了离子型声子晶体的长波光学性质,研制出一系列不同掺杂的光学超晶格材料。此后,还率先研究了光学超晶格铌酸锂的电光性质,国内外若干研究组随后开展了不少后续研究,形成了一定的国际影响。回国后,在以往研究单一的非线性、电光等效应的基础上,考虑更为丰富的耦合物理过程,以期实现对材料物性的有效调控或灵敏感测,并应用于光电器件。最近,陆延青和合作者以人工微纳结构作为物理基础和材料特色,面向光纤、集成光学等重要应用方向,进行了大量研究工作,取得诸多进展,并形成相关核心专利技术,在国内外同行中产生了积极影响。 代表性研究论文 1. LuY Q, Zhu Y Y, Chen Y F, Zhu S N, Ming N B, Feng Y J. Optical properties of an ionic-type phononic crystal . Science, 1999, 284: 1822-1824. 2. Lu Y Q, Wan Z L, Wang Q, Xi YX, Ming N B. Electro-optic effect of periodically poled optical superlattice LiNbO3 and its applications . Appl Phys Lett, 2000, 77: 3719-3721. 中国科学院数学与系统科学研究院 田野 田野,1971年生于四川隆昌,1993年于四川大学获学士学位。1998—2003年在美国Columbia大学数学系攻读博士学位。2003—2004在Princeton高等研究院、2004—2006年在加拿大McGill大学从事博士后工作。2006年回到中国科学院数学与系统科学院,现任研究员。 田野的专业研究领域为算术代数几何和数论。他在费尔马型问题的研究中做出了十分重要的贡献:综合运用算术代数几何中Shimura曲线的算术理论和解析数论理论中的双重级数方法等获得了全实域上费尔马型方程的丢番图性质。他还在自守表示论中的著名Howe猜想等方面做出重要结果。这些研究成果先后发表在Annals of Math和 Invent Math等顶级数学刊物上,并引发了包括哥伦比亚大学、布朗大学在内的国际同行的进一步研究工作。费尔马大定理解决者、菲尔兹特别奖得主Wiles评价田野“Among his age group he is at the top level of number theorists”。 代表性研究论文 1. Diaconu A, Tian Y. Twisted fermat curves over totally real fields . Annals of Mathematics, 2005, 162: 1353-1376. 2. Li J S, Sun B Y, Tian Y. The multiplicity one conjecture for local theta correspondences . Inventiones Mathematic, 2011, 184: 117-124. 中国科学院上海生命科学研究院 翟琦巍 翟琦巍,1972年生于江苏海门,2000年于中国科学院上海生物化学研究所获博士学位。2000—2002年赴美国哈佛大学医学院病理系做博士后;2002—2003年在哈佛大学医学院儿童医院神经生物学系做博士后;2003年到中国科学院上海生命科学研究院营养科学研究所任职,现为研究员。 翟琦巍多年来致力于蛋白乙酰化修饰和慢性代谢疾病等方面的研究,并取得了一系列重要研究进展。他首次提出了去乙酰化酶SIRT1对于胰岛素敏感性的调控作用和相关分子机制,为糖尿病的防治提供了新的思路。该研究结果以Featured article发表在Cell Metabolism上,并且同期刊发了专评。Cell Press为此刊发了新闻稿,引起国际媒体用十多种语言广泛报道。两年后,Nature Reviews Endocrinology发表综述,充分肯定了SIRT1在胰岛素敏感性调控中的作用。目前该论文已被引用130次,后续有望在代谢性疾病的分子机理等方面取得突破。 代表性研究论文 1. Sun C, Zhang F, Ge X, Yan T, Chen X, Shi X, Zhai Q. SIRT1 improves insulin sensitivity under insulin-resistant conditions by repressing PTP1B . Cell Metab, 2007, 6(4): 307-319. 2. Wu J, Zhang F, Yan M, Wu D, Yu Q, Zhang Y, Zhou B, McBurney M W, Zhai Q. WldS enhances insulin transcription and secretion via a sIRT1-dependent pathway and improves glucose homeostasis . Diabetes, 2011. In press. 中国科学院高能物理研究所 曹俊 曹俊,1972年生于湖南,1998年在中国科学院高能物理研究所获博士学位,先后在法国奥塞直线加速器实验室、美国密歇根大学从事博士后研究工作。2004回国从事大亚湾反应堆中微子实验的研究工作。现任中国科学院高能物理研究所研究员,主要研究方向为中微子实验。 大亚湾反应堆中微子实验是中国一个具有重要国际影响的基础研究项目。曹俊作为该项目的主要推动者和组织者之一,承担了大部分的具体方案设计工作。先后担任“973”项目的离线平台课题负责人和中心探测器负责人,主持了中微子探测器的设计与部分建造工作,领导了项目中最核心的400吨液体闪烁体的大规模生产。实验已开始投入运行,探测器性能优良,关键技术达到或超过设计指标。目前正在领导物理分析工作,预期1—2年内将达到国际最高精度。曹俊在大亚湾中微子实验中负责的中心探测器建造、物理分析和软件工作中做出了重要贡献,显示出很高的科研水平和很强的管理能力。 代表性学术论文 1. Kettell S, et al. A precision measurement of the neutrino mixing angle theta(sub 13) using reactor antineutrinos at Daya-Bay . Daya-Bay Collaboration (Guo X H, et al). 2007. 156pp. hep-ex/0701029 2. Collaboration H.Measurement and QCD analysis of neutral and charged current cross sections at HERA . Eur Phys J, 2003, C30: 1-32. 中国科学院物理研究所 戴希 戴希,1971年生于浙江杭州,1999年于中国科学院理论物理研究所获博士学位,之后在香港科技大学、Boston College和Rutgers大学从事博士后研究。2004年到香港大学物理系担任研究助理教授。2006年回到中国科学院物理研究所,现任研究员。 强关联电子材料的第一性原理计算研究是一个非常困难且重要的问题,而将动力学平均场理论与局域密度近似理论相结合的计算方法,是目前解决该问题的最好理论计算方案。戴希在美期间开发出一套能与第一性原理计算相结合的动力学平均场理论程序包,利用这一程序包预言了钚金属的声子谱,并被随后的实验证实。 回国后,戴希主要在强关联体系和拓扑绝缘体两个方面开展工作:提出并发展了LDA+Gutzwiller——一种国际首创的用于强关联材料第一性原理计算的方法,并利用这一方法研究了几种重要强关联材料体系,其中关于铁基超导材料的研究在学术界产生较大影响。另一项重要工作是关于拓扑绝缘体材料的研究。戴希与合作者发现了到目前为止最重要的拓扑绝缘体材料体系——Bi2Se3家族,并提出了能正确描写该材料体系拓扑电子结构的低能有效模型。此后,戴希与合作者一起,预言了在这类拓扑绝缘体薄膜中掺入磁性元素将有可能实现量子化的反常霍尔效应这一全新的拓扑量子态,在国际学术界引发回响。 代表性研究论文 1. Yu R, Zhang W, Zhang H J, Zhang S C, Dai X, Fang Z. Quantized anomalous Hall effect in magnetic topological insulators . Science, 2010, 329(5987): 61-64. 2. Dai X, Savrasov S Y, Kotliar G, Migliori A, Ledbetter H, Abrahams E. Calculated phonon spectra of plutonium at high temperatures . Science, 2003, 300(5621): 953-955. 中国科学院化学研究所 王树 王树,1972年生于河北沧县,1994年于河北大学化学系获学士学位,1999年于北京大学化学与分子工程学院获博士学位。1999—2001年在中国科学院化学研究所从事博士后研究,2001—2004年在美国加利福尼亚大学圣巴巴拉分校从事博士后研究。2004年任中国科学院化学研究所研究员。 王树主要从事新型聚合物荧光探针的设计、合成与生物识别与传感研究,发展肿瘤早期诊断和治疗的新技术。他带领的课题组结合化学、生物学以及材料学等多学科交叉的优势开展研究工作,建立和发展了基于共轭聚合物的多种高灵敏度检测新方法,实现了重大疾病相关基因与蛋白质的高灵敏识别与检测,为肿瘤早期诊断与个体化医疗提供了新技术,取得了系列创新性的研究成果:发展了高灵敏度检测DNA甲基化的新方法,在联合多基因指标实现肿瘤的早期诊断方面具有重要的应用价值;发展了高灵敏度检测DNA单核苷酸多态性(SNP)的新方法,实现了肝癌病人基因样品中两个SNP位点基因分型的灵敏分析,大幅提高了SNP基因型分辨的特异性和敏感性;发展了高灵敏度检测DNA/核酸酶相互作用的新体系;利用水溶性共轭聚合物荧光探针,发展了以蛋白酶、核酸酶、RNA-蛋白质复合物为靶点的药物筛选新体系。 代表性研究论文 1. Duan X R, Liu L B, Feng F D, Wang S. Cationic conjugated polymers for optical detection of DNA methylation lesions and single nucleotide polymorphisms . Acc Chem Res, 2010, 43: 260-270. 2. Zhu C L, Yang Q, Liu L B, Wang S. Rapid simple and high-throughput antimicrobial susceptibility testing and antibiotics screening . Angew Chem Int Ed, 2011, 50: 9607-9610. 中国科学院古脊椎动物与古人类研究所 倪喜军 现年39岁的倪喜军,专业素养深厚,研究思路开阔,学风严谨,慎思笃行。 他发表的论文数量不算多,但包括了Nature,PNAS,Proc R Soc B,J Human Evol等著名刊物。最重要的学术贡献来自对和人类起源相关的灵长类化石的研究。2004年,他和同事在Nature杂志上发表论文,认为亚洲德氏猴是目前已知最为古老、形态最为原始的一种灵长类动物,在系统演化树上最为接近人类和其他灵长类动物的共同祖先,并且提出包括人类在内的所有灵长类动物的共同祖先应该是一种小型的、日行性的、食虫的哺乳动物。这一结论对传统的有关灵长类适应性起源的理论提出了挑战。Nature杂志同期配发了评论性文章,予以高度评价。该成果已被收入许多百科全书和经典教科书。 倪喜军与同事发现和研究了更多的灵长类化石,深入研究并提出了有关灵长类起源中心、早期扩散路线和分支系统关系的一些理论,在国际学术界引起广泛关注。他的另外一项重要工作是基于岩石地层学、磁性地层学和古生物年代地层学的综合,帮助完善中国的新生代哺乳动物年代地层框架,使之成为亚洲新生代哺乳动物年代框架的标准,并且在此基础上深入探讨哺乳动物演化与新生代全球气候变化的关联。 代表性研究论文 1. Ni X, Wang Y, Hu Y, Li C. A euprimate skull from the early Eocene of China . Nature, 2004, 427: 65-68. 2. Ni X, Flynn J J, Wyss A R. The bony labyrinth of the early platyrrhine primate Chilecebus . Journal of Human Evolution, 2010, 59: 595-607. 实际上,这14位青年科学家们的工作成果并不止于本文,而且他们因为工作出色还在各自领域获得了很多荣誉和奖励,其中不乏国际知名奖项。因为此次推荐更加注重研究工作本身,所以我们没有过多介绍。希望大家从研究工作出发,给青年科学家更多关注和爱护,鼓励他们做出更有影响力的工作。 谨向本文关心青年科学家成长的14位推荐人致以诚挚谢意。 推荐人名单(排名不分先后): 北京大学校长 周其凤 清华大学校长 顾秉林 复旦大学校长 杨玉良 上海交通大学校长 张 杰 中国科学技术大学校长 侯建国 浙江大学校长 杨 卫 华中科技大学校长 李培根 南京大学校长 陈 骏 中国科学院数学与系统科学研究院院长 郭 雷 中国科学院上海生命科学研究院院长 陈晓亚 中国科学院高能物理研究所所长 陈和生 中国科学院物理研究所所长 王玉鹏 中国科学院化学研究所所长 万立骏 中国科学院古脊椎动物与古人类研究所所长 周忠和
2011年被ieee退回的国际会议名录(138个)。科学网上的会议要注意了。 2010 2nd Asia-Pacific Conference on Information Processing (APCIP) 2010 2nd International Asia Symposium on Intelligent Interaction and Affective Computing 2010 2nd International on Innovation Management (ASIA-ICIM) 2010 2nd International Conference on Future Computer and Communication (FCC) 2010 2nd International Conference on Information and Multimedia Technology (ICIMT) 2010 2nd International Conference on Intellectual Technique in Industrial Practice (ITIP 2010) 2010 2nd International Conference on Multimedia and Computational Intelligence (ICMCI) 2010 2nd International Conference on Research Challenges in Computer Science (ICRCCS) 2010 2nd International Symposium on Computer Network and Multimedia Technology (CNMT 2010) 2010 3rd International Conference on Computational Intelligence and Industrial Application (PACIIA) 2010 3rd International Conference on Environmental and Computer Science (ICECS) 2010 3rd International Conference on Machine Vision (ICMV) 2010 3rd International Conference on Power Electronics and Intelligent Transportation System (PEITS) 2010 4th International Conference on Intelligent Information Technology Application (IITA) 2010 6th International Conference on MEMS, NANO, and Smart Systems (ICMENS) 2010 First International Conference on Cellular, Molecular Biology, Biophysics and Bioengineering (CMBB) 2010 IIS 2nd International Conference on Signal Processing, Robotics and Automation (ICSRA 2010) 2010 International Asia Conference on Optical Instrument and Measurement (ACPIM) 2010 International Conference on Bio-Inspired Systems and Signal Processing (ICBSSP) 2010 International Conference on Biology, Environment and Chemistry (ICBEC) 2010 International Conference on Broadcast Technology and Multimedia Communication (BTMC) 2010 International Conference on Circuit and Signal Processing (ICCSP) 2010 International Conference on Communication and Vehicular Technology (ICCVT) 2010 International Conference on Computational Intelligence and Vehicular System (CIVS) 2010 International Conference on Computer and Computational Intelligence (ICCCI) 2010 International Conference on Computer and Software Modeling (ICCSM) 2010 International Conference on Computer Science and Sports Engineering (CSSE) 2010 International Conference on Computer-Aided Manufacturing and Design (CMD) 2010 International Conference on Construction and Project Management (ICCPM) 2010 International Conference on Digital Enterprise and Digital Manufacturing (DEDM) 2010 International Conference on E-business, Management and Economics (ICEME) 2010 International Conference on Economics, Business and Management (ICEBM) 2010 International Conference on Electrical Engineering and Automatic Control (ICEEAC) 2010 International Conference on Embedded Systems and Microprocessors (ICESM) 2010 International Conference on Engineering Education and Educational Technology (EEET) 2010 International Conference on Future Biomedical Information Engineering (FBIE) 2010 International Conference on Future Computer, Control and Communication (FCCC) 2010 International Conference on Future Industrial Engineering and Application (ICFIEA) 2010 International Conference on Future Information Technology (ICFIT) 2010 International Conference on Future Information Technology and Computing (FITC) 2010 International Conference on Graphic and Image Processing (ICGIP) 2010 International Conference on Information and Finance (ICIF) 2010 International Conference on Information Security and Artificial Intelligence (ISAI) 2010 International Conference on Intelligence and Information Technology (ICIIT) 2010 International Conference on Intelligent Network and Computing (ICINC) 2010 International Conference on Management Science (ICMS) 2010 International Conference on Management Science and Information Engineering (ICMSIE) 2010 International Conference on Manufacturing Science and Technology (ICMST) 2010 International Conference on Measurement and Control Engineering (ICMCE) 2010 International Conference on Mechanical and Aerospace Engineering (ICMAE) 2010 International Conference on Mechanical Engineering, Robotics and Aerospace (ICMERA) 2010 International Conference on Modeling, Simulation and Control (ICMSC 2010) 2010 International Conference on Nano Science and Technology (ICNST) 2010 International Conference on Nanotechnology and Biosensors (ICNB) 2010 International Conference on Nuclear Energy and Engineering Technology (NEET) 2010 International Conference on Physics Science and Technology (ICPST) 2010 International Conference on Psychology, Psychological Sciences and Computer Science (PPSCS) 2010 International Conference on Remote Sensing (ICRS) 2010 International Conference on Semiconductor Laser and Photonics (ICSLP) 2010 International Conference on Services Science, Management and Engineering (SSME) 2010 International Conference on Signal and Information Processing (ICSIP) 2010 International Conference on Software and Computing Technology (ICSCT) 2010 International Conference on Sport Medicine, Sport Science, and Computer Science (SMSSCS) 2010 ISECS International Colloquium on Computing, Communication, Control, and Management (CCCM 2010) 2010 Second International Conference on E-Learning, E-Business, Enterprise Information Systems, and E-Government (EEEE) 2010 Second International Conference on Test and Measurement (ICMT) 2010 Second International Seminar on Business and Information Management (ISBIM) 2010 Third International Conference on Computer and Electrical Engineering (ICCEE) 2010 Third International Conference on Education Technology and Training (ETT) 2010 Third International Symposium on Intelligent Ubiquitous Computing and Education (IUCE) 2010 Third Pacific-Asia Conference on Web Mining and Web-Based Application (WMWA) 2011 15th Global Chinese Conference on Computers in Education (GCCCE) 2011 2nd Asia-Pacific Conference on Wearable Computing Systems (APWCS) 2011 2nd International Conference on Biomedical Engineering and Computer Science (ICBECS) 2011 2nd International Conference on Biotechnology and Food Science (ICBFS) 2011 2nd International Conference on Data Storage and Data Engineering (DSDE) 2011 2nd International Conference on Environmental Science and Technology (ICEST) 2011 2nd International Conference on Financial Theory and Engineering (ICFTE) 2011 2nd International Conference on Mechanical, Industrial, and Manufacturing Technologies (MIMT) 2011 2nd Intl Conf on Innovative Computing Communication and 2010 Asia-Pacific Conf on Information Technology Ocean Engineering, (CICC-ITOE) 2011 2nd World Congress on Computer Science and Information Engineering (CSIE) 2011 3rd IEEE International Conference on Information Management and Engineering (ICIME) 2011 3rd International Conference on Bioinformatics and Biomedical Technology (ICBBT 2011) 2011 3rd International Conference on Computer and Automation Engineering (ICCAE) 2011 3rd International Conference on Computer and Network Technology (ICCNT) 2011 3rd International Conference on Computer Design and Applications (ICCDA 2011) 2011 3rd International Conference on Computer Modeling and Simulation (ICCMS) 2011 3rd International Conference on E-business and Information System Security (EBISS) 2011 3rd International Conference on Machine Learning and Computing (ICMLC) 2011 3rd International Conference on Networks Security, Wireless Communications and Trusted Computing (NSWCTC) 2011 3rd International Conference on Signal Acquisition and Processing (ICSAP) 2011 3rd International Workshop on Education Technology and Computer Science (ETCS) 2011 4th IEEE International Conference on Computer Science and Information Technology (ICCSIT 2011) 2011 IEEE International Conference on Information and Education Technology (ICIET) 2011 IEEE International Conference on Smart Grid and Clean Energy Technologies (ICSGCE) 2011 International Conference on Applied Physics and Mathematics (ICAPM 2011) 2011 International Conference on Bioinformatics and Computational Biology (ICBCB) 2011 International Conference on Bioscience, Biochemistry and Bioinformatics (ICBBB) 2011 International Conference on Communication and Electronics Information (ICCEI) 2011 International Conference on Computer and Communication Devices (ICCCD) 2011 International Conference on Computer Applications and Network Security (ICCANS) 2011 International Conference on Computers, Communications, Control and Automation (CCCA) 2011 International Conference on Control, Robotics and Cybernetics (ICCRC) 2011 International Conference on Data Engineering and Internet Technology (DEIT) 2011 International Conference on Database and Data Mining (ICDDM) 2011 International Conference on Digital Convergence (ICDC) 2011 International Conference on Economics and Finance Research (ICEFR) 2011 International Conference on Economics, Business and Marketing Management (CEBMM) 2011 International Conference on Economics, Trade and Development (ICETD) 2011 International Conference on Electrical Energy and Networks (ICEEN) 2011 International Conference on Energy and Environment (ICEE) 2011 International Conference on Engineering and Information Management (ICEIM) 2011 International Conference on Environment Science and Engineering (ICESE) 2011 International Conference on Environmental Science and Development (ICESD) 2011 International Conference on Future Environment and Energy (ICFEE 2011) 2011 International Conference on Fuzzy Systems and Neural Computing (FSNC) 2011 International Conference on Information and Computer Applications (ICICA) 2011 International Conference on Information and Computer Networks (ICICN) 2011 International Conference on Information and Industrial Electronics (ICIIE) 2011 International Conference on Information Engineering and Mechanical Engineering (IEME) 2011 International Conference on Innovation and Information Management (ICIIM) 2011 International Conference on Intelligent Information Networks (ICIIN) 2011 International Conference on Knowledge Discovery (ICKD) 2011 International Conference on Life Science and Technology (ICLST) 2011 International Conference on Manufacturing and Industrial Engineering (ICMIE) 2011 International Conference on Mechanical and Aerospace Engineering (ICMAE) 2011 International Conference on Medical Information and Bioengineering (ICMIB) 2011 International Conference on Network Communication and Computer (ICNCC) 2011 International Conference on Product Development and Renewable Energy Resources (ICPDRE) 2011 International Conference on Security Science and Technology (ICSST) 2011 International Conference on Social Science and Humanity (ICSSH) 2011 International Conference on Solid-State and Integrated Circuit (ICSIC) 2011 International Conference on System Design and Data Proceesing (ICSDDP) 2011 International Conference on System Modeling and Optimization (ICSMO) 2011 International Conference on Systems Engineering and Modeling (ICSEM) 2011 International Conference on Technological Advancements in Civil Engineering (ICTACE) 2011 International Conference on Traffic and Logistic Engineering (ICTLE) 2011 International Conference on Traffic and Transportation Engineering (ICTTE) 2011 WASE International Conference on Information Engineering (ICIE)
在8月21日,与本课题组复合材料方向的六名同学一起,取道上海前往韩国济洲岛参加了第18届复合材料国际会议,本人作为M12分会Damage and Fracture的主席主持了分会报告,并做了学术报告“ MESO-MECHANICAL PERFORMANCE OF UNIDIRECTIONAL FIBER COMPOSITES WITH MATRIX SHEAR BAND EFFECT ”。 这次会议有1600多人参加,见到了一些久仰大名的复合材料学者,也听到了很多相当有价值的学术报告,开阔了视野,受益匪浅!这种高水平的学术会议,的确值得参加! 挤时间游览了济洲岛的火山地貌,确是很有特色!
去年以来,自己为了追求检索开始连投了几篇国际会议,后来看着太泛滥没敢再投,饶是这样,还是有两篇出事,一篇是ICEEAC,还好,收到一封来自ICEEAC的邮件,内容在下面,蓝色字体部分;另一篇ICEE2011的就惨了,没收到任何邮件,前段时间打电话怎么打都不接。大家进来讨论一下,看看怎么办,谁来负责,如何有效杜绝这种不正之风。 尊敬的作者: 您好! 由于受国内某不负责任国际会议影响, IEEE 将 2010 年 10 月至 2011 年 2 月期间出版的 138 个会议全部撤出 EI 检索并退回版权。详情见: http://www.ieee.org/conferences_events/conferences/publishing/author_form.html 本着对作者负责的态度, ICEEAC 组委会经研究决定将此次会议全部文章重新提交 EI 检索国际期刊 Advanced Materials Research (ISSN: 1022-6680) 出版,给您带来的不便,我们深感歉意。 ICEEAC 组委会会尽最快的速度与其沟通协商,尽快完成 EI 检索,谢谢支持! 祝工作顺利 ICEEAC 组委会 被IEEE撤出的国际会议名称包括: 2010 2nd Asia-Pacific Conference on Information Processing (APCIP) 2010 2nd International Asia Symposium on Intelligent Interaction and Affective Computing 2010 2nd International on Innovation Management (ASIA-ICIM) 2010 2nd International Conference on Future Computer and Communication (FCC) 2010 2nd International Conference on Information and Multimedia Technology (ICIMT) 2010 2nd International Conference on Intellectual Technique in Industrial Practice (ITIP 2010) 2010 2nd International Conference on Multimedia and Computational Intelligence (ICMCI) 2010 2nd International Conference on Research Challenges in Computer Science (ICRCCS) 2010 2nd International Symposium on Computer Network and Multimedia Technology (CNMT 2010) 2010 3rd International Conference on Computational Intelligence and Industrial Application (PACIIA) 2010 3rd International Conference on Environmental and Computer Science (ICECS) 2010 3rd International Conference on Machine Vision (ICMV) 2010 3rd International Conference on Power Electronics and Intelligent Transportation System (PEITS) 2010 4th International Conference on Intelligent Information Technology Application (IITA) 2010 6th International Conference on MEMS, NANO, and Smart Systems (ICMENS) 2010 First International Conference on Cellular, Molecular Biology, Biophysics and Bioengineering (CMBB) 2010 IIS 2nd International Conference on Signal Processing, Robotics and Automation (ICSRA 2010) 2010 International Asia Conference on Optical Instrument and Measurement (ACPIM) 2010 International Conference on Bio-Inspired Systems and Signal Processing (ICBSSP) 2010 International Conference on Biology, Environment and Chemistry (ICBEC) 2010 International Conference on Broadcast Technology and Multimedia Communication (BTMC) 2010 International Conference on Circuit and Signal Processing (ICCSP) 2010 International Conference on Communication and Vehicular Technology (ICCVT) 2010 International Conference on Computational Intelligence and Vehicular System (CIVS) 2010 International Conference on Computer and Computational Intelligence (ICCCI) 2010 International Conference on Computer and Software Modeling (ICCSM) 2010 International Conference on Computer Science and Sports Engineering (CSSE) 2010 International Conference on Computer-Aided Manufacturing and Design (CMD) 2010 International Conference on Construction and Project Management (ICCPM) 2010 International Conference on Digital Enterprise and Digital Manufacturing (DEDM) 2010 International Conference on E-business, Management and Economics (ICEME) 2010 International Conference on Economics, Business and Management (ICEBM) 2010 International Conference on Electrical Engineering and Automatic Control (ICEEAC) 2010 International Conference on Embedded Systems and Microprocessors (ICESM) 2010 International Conference on Engineering Education and Educational Technology (EEET) 2010 International Conference on Future Biomedical Information Engineering (FBIE) 2010 International Conference on Future Computer, Control and Communication (FCCC) 2010 International Conference on Future Industrial Engineering and Application (ICFIEA) 2010 International Conference on Future Information Technology (ICFIT) 2010 International Conference on Future Information Technology and Computing (FITC) 2010 International Conference on Graphic and Image Processing (ICGIP) 2010 International Conference on Information and Finance (ICIF) 2010 International Conference on Information Security and Artificial Intelligence (ISAI) 2010 International Conference on Intelligence and Information Technology (ICIIT) 2010 International Conference on Intelligent Network and Computing (ICINC) 2010 International Conference on Management Science (ICMS) 2010 International Conference on Management Science and Information Engineering (ICMSIE) 2010 International Conference on Manufacturing Science and Technology (ICMST) 2010 International Conference on Measurement and Control Engineering (ICMCE) 2010 International Conference on Mechanical and Aerospace Engineering (ICMAE) 2010 International Conference on Mechanical Engineering, Robotics and Aerospace (ICMERA) 2010 International Conference on Modeling, Simulation and Control (ICMSC 2010) 2010 International Conference on Nano Science and Technology (ICNST) 2010 International Conference on Nanotechnology and Biosensors (ICNB) 2010 International Conference on Nuclear Energy and Engineering Technology (NEET) 2010 International Conference on Physics Science and Technology (ICPST) 2010 International Conference on Psychology, Psychological Sciences and Computer Science (PPSCS) 2010 International Conference on Remote Sensing (ICRS) 2010 International Conference on Semiconductor Laser and Photonics (ICSLP) 2010 International Conference on Services Science, Management and Engineering (SSME) 2010 International Conference on Signal and Information Processing (ICSIP) 2010 International Conference on Software and Computing Technology (ICSCT) 2010 International Conference on Sport Medicine, Sport Science, and Computer Science (SMSSCS) 2010 ISECS International Colloquium on Computing, Communication, Control, and Management (CCCM 2010) 2010 Second International Conference on E-Learning, E-Business, Enterprise Information Systems, and E-Government (EEEE) 2010 Second International Conference on Test and Measurement (ICMT) 2010 Second International Seminar on Business and Information Management (ISBIM) 2010 Third International Conference on Computer and Electrical Engineering (ICCEE) 2010 Third International Conference on Education Technology and Training (ETT) 2010 Third International Symposium on Intelligent Ubiquitous Computing and Education (IUCE) 2010 Third Pacific-Asia Conference on Web Mining and Web-Based Application (WMWA) 2011 15th Global Chinese Conference on Computers in Education (GCCCE) 2011 2nd Asia-Pacific Conference on Wearable Computing Systems (APWCS) 2011 2nd International Conference on Biomedical Engineering and Computer Science (ICBECS) 2011 2nd International Conference on Biotechnology and Food Science (ICBFS) 2011 2nd International Conference on Data Storage and Data Engineering (DSDE) 2011 2nd International Conference on Environmental Science and Technology (ICEST) 2011 2nd International Conference on Financial Theory and Engineering (ICFTE) 2011 2nd International Conference on Mechanical, Industrial, and Manufacturing Technologies (MIMT) 2011 2nd Intl Conf on Innovative Computing Communication and 2010 Asia-Pacific Conf on Information Technology Ocean Engineering, (CICC-ITOE) 2011 2nd World Congress on Computer Science and Information Engineering (CSIE) 2011 3rd IEEE International Conference on Information Management and Engineering (ICIME) 2011 3rd International Conference on Bioinformatics and Biomedical Technology (ICBBT 2011) 2011 3rd International Conference on Computer and Automation Engineering (ICCAE) 2011 3rd International Conference on Computer and Network Technology (ICCNT) 2011 3rd International Conference on Computer Design and Applications (ICCDA 2011) 2011 3rd International Conference on Computer Modeling and Simulation (ICCMS) 2011 3rd International Conference on E-business and Information System Security (EBISS) 2011 3rd International Conference on Machine Learning and Computing (ICMLC) 2011 3rd International Conference on Networks Security, Wireless Communications and Trusted Computing (NSWCTC) 2011 3rd International Conference on Signal Acquisition and Processing (ICSAP) 2011 3rd International Workshop on Education Technology and Computer Science (ETCS) 2011 4th IEEE International Conference on Computer Science and Information Technology (ICCSIT 2011) 2011 IEEE International Conference on Information and Education Technology (ICIET) 2011 IEEE International Conference on Smart Grid and Clean Energy Technologies (ICSGCE) 2011 International Conference on Applied Physics and Mathematics (ICAPM 2011) 2011 International Conference on Bioinformatics and Computational Biology (ICBCB) 2011 International Conference on Bioscience, Biochemistry and Bioinformatics (ICBBB) 2011 International Conference on Communication and Electronics Information (ICCEI) 2011 International Conference on Computer and Communication Devices (ICCCD) 2011 International Conference on Computer Applications and Network Security (ICCANS) 2011 International Conference on Computers, Communications, Control and Automation (CCCA) 2011 International Conference on Control, Robotics and Cybernetics (ICCRC) 2011 International Conference on Data Engineering and Internet Technology (DEIT) 2011 International Conference on Database and Data Mining (ICDDM) 2011 International Conference on Digital Convergence (ICDC) 2011 International Conference on Economics and Finance Research (ICEFR) 2011 International Conference on Economics, Business and Marketing Management (CEBMM) 2011 International Conference on Economics, Trade and Development (ICETD) 2011 International Conference on Electrical Energy and Networks (ICEEN) 2011 International Conference on Energy and Environment (ICEE) 2011 International Conference on Engineering and Information Management (ICEIM) 2011 International Conference on Environment Science and Engineering (ICESE) 2011 International Conference on Environmental Science and Development (ICESD) 2011 International Conference on Future Environment and Energy (ICFEE 2011) 2011 International Conference on Fuzzy Systems and Neural Computing (FSNC) 2011 International Conference on Information and Computer Applications (ICICA) 2011 International Conference on Information and Computer Networks (ICICN) 2011 International Conference on Information and Industrial Electronics (ICIIE) 2011 International Conference on Information Engineering and Mechanical Engineering (IEME) 2011 International Conference on Innovation and Information Management (ICIIM) 2011 International Conference on Intelligent Information Networks (ICIIN) 2011 International Conference on Knowledge Discovery (ICKD) 2011 International Conference on Life Science and Technology (ICLST) 2011 International Conference on Manufacturing and Industrial Engineering (ICMIE) 2011 International Conference on Mechanical and Aerospace Engineering (ICMAE) 2011 International Conference on Medical Information and Bioengineering (ICMIB) 2011 International Conference on Network Communication and Computer (ICNCC) 2011 International Conference on Product Development and Renewable Energy Resources (ICPDRE) 2011 International Conference on Security Science and Technology (ICSST) 2011 International Conference on Social Science and Humanity (ICSSH) 2011 International Conference on Solid-State and Integrated Circuit (ICSIC) 2011 International Conference on System Design and Data Proceesing (ICSDDP) 2011 International Conference on System Modeling and Optimization (ICSMO) 2011 International Conference on Systems Engineering and Modeling (ICSEM) 2011 International Conference on Technological Advancements in Civil Engineering (ICTACE) 2011 International Conference on Traffic and Logistic Engineering (ICTLE) 2011 International Conference on Traffic and Transportation Engineering (ICTTE) 2011 WASE International Conference on Information Engineering (ICIE)
GuiLin|China MIPPR | Nav: Registration | Conference | Travel/General | Accepted/Rejected +Abstracts Submission +Paper Submission +Accepted/Rejected +Conferences +Travel/General +Committees +Investment Cooperation +Contact In 2009,the sixth International Symposium on Multispectral Image Processing and Pattern Recognition was held in Yichang, China.This symposium was a great success. Scientists, engineers, and graduate students from more than 20 countries presented over 280 talks conveying research results in image processing and pattern recognition. 697 papers were selected for publication in SPIE proccedings. After that,the seventh SPIE International Symposium on Multispectral Image Processing and Pattern Recogniton(MIPPR'2011) will be held on November 4,2011 in the historical city of Guilin,China. Guilin is in the north of Guangxi.The population in the city of Guilin is more than six hundred thousand. There are famous and beautiful sceneries in Guilin,such as Li River, Elephant Trunk Hill and so on. The conference will bring together scientists,professoes,engineers and graduate students in this field and provide a forum for presentation,exchange and discussion of recent advances in theory,techniques,algorithms and applications in Multisepctral Image Processing and Pattern Recognition.In order to better inform the participants of the latest developments in the different branches of multispectral image processing and pattern recognition,apart from general papers to be presented at the forthcoming conference,we shall organize several special plenary sections for top quality invited papers. Sponsored by National Key Laboratory of Science and Technology on Multi-spectral Information Processing (China) Huazhong University of Science and Technology (China) SPIE- The international society advancing light-based research (USA) Guilin University of Electronic Technology (China) Symposium Honorary Chair: Bo Zhang, Tsinghua University (China) Symposium Chair: M.V.Srinivasan, University of Queensland (Australia) Deren Li,Wuhan University (China) Porgram Committee Chairs: Bir Bhanu, The University of California at Riverside (USA) Supported by: National Natural Sci. Foundation of China Education Ministry of China Proceedings Publisher: SPIE an international society advancing an interdisciplinary approach to the science and application of light.(USA) Organizing Committee Chair: Jianguo Liu,Huazhong University of Science and Technology (China) Co-Chairs: Jinxue Wang, SPIE (USA) General Secretary: Faxiong Zhang ,Huazhong University of Science and Technology (China) Asociate General Secretary: Wenwen Gu, Huazhong University of Science and Technology (China) what's new? | submit site | search | about us | gbook | Style Like Exp... ImageProcessing Art. IPRAI 2011 All Right Reserved. Designed and Programed by Chengzhao 会议网址: http://iprai.hust.edu.cn/mippr/default.html
ISAM Information The International Symposium on Advanced Materials (ISAM) has a history spanning 21 years. Each alternate year, the symposium has provided researchers an international forum to present recent progress in the fundamentals and applications of advanced materials and their processing technologies. At the same time, it has also given young energetic scientists and engineers an opportunity to interact with their highly experienced counterparts. Some of these interactions have resulted in the development of long term collaborations. The increasing number of participants in each successive symposium bears testimony to the success of ISAM. Like preceding times, this year too, ISAM is expected to attract a large number of foreign and local delegates active in the field of materials science. http://www.isampk.com.pk/Default.aspx 有决定参加的同行可以与我联系。
《“ 第八届国际地面沉降学术研讨会” 译文选编》刊印 Land Subsidence, Associated Hazards and the Role of Natural Resources Development (Proceedings of Eighth International Symposium On Land Subsidence(EISOLS 2010), Querétaro, Mexico, 17–22 October 2010). Eds. Dora Carreón-Freyre, Mariano Cerca, Devin L.Galloway, J.Jesus Silva-Corona IAHS Publ. 339, 2010. “第八届国际地面沉降学术研讨会”译文选编 编译: 中国地质调查局地面沉降研究中心 上海地面沉降控制工程技术研究中心 上海市地质调查研究院 翻译 ( 按姓氏拼音为序 ): 陈基伟 陈 勇 焦 珣 黎 兵 彭文祥 史玉金 王 瑞 徐俊杰 杨丽君 杨天亮 赵宝成 审定: 张阿根 魏子新 严学新 编辑: 龚士良 2011 年 6 月 目 录 综述 国际地面沉降最新研究进展综述 ( 张阿根,杨天亮 ) 综合研究 美国加州中央流域地面沉降与城市消耗之间的综合模拟:城市化和气候变化的过去和未来专题研究 ( R. T. Hanson, A. L. Flint, L. E. Flint, C. C. Faunt ; 赵宝成译 ) 荷兰全新世三角洲地面沉降 ( L. M. Vonhgen,P. J. Doornenbal, Ger de Lange, P. A. Fokker J. L. Gunnink ; 黎 兵译 ) 日本千叶县 Kujukuri 平原地面沉降 : 环境影响评价与监测 ( H.Obanawa,T.Tokunaga,S.Rokugawa,T. Deguchi T. Nakamura ; 彭文祥译 ) 地铁隧道盾构施工诱发的工程性地面沉降分析研究 ( 杨天亮 , 严学新 , 王寒梅 , 詹龙喜 ) 墨西哥中心城区的地面沉降过程及相关地裂缝 ( D. Carreón-Freyre ; 史玉金译 ) 大量抽水的盆地区域地裂缝变形机制 ( T. J. Burbey ; 陈 勇译 ) 监测技术 在威尼斯沿海地区采用卫星 SAR 数据映射地表位移的先进监测技术 ( T. Strozzi, L. Tosi,P. Teatini,U.Wegmüller,M. Santoro,L. Carbognin ; 陈基伟译 ) 采用干涉法测量加州 Coachella 谷地的地面沉降 ( M. Sneed ; 陈基伟译 ) 墨西哥 Mexicali 谷地地热开发引发的地面沉降灾害的 D-InSAR 分析 ( O. Sarychikhina, E. Glowacka,F. suarez-Vidal1 R. Mellors ; 杨天亮译 ) 通过 PSI 和 DinSAR 干涉法在法国盆地东部地区膨胀土监测中的应用 ( H. F. Kaveh, B. Deffontaines,B. Fruneau, R. Cojean,M. Audiguier,A. Arnaud J. Duro ; 史玉金译 ) 重复追踪 SAS 干涉法测量海床高程变化的试验处理及其结果 ( R. De Paulis,C.Prati, S. Scirpoli,P. A. Sletner A. Tesei ; 徐俊杰译 ) 光纤技术在深部含水层的原位地层压缩测量 ( Shoji Kunisue Tatsuo Kokubo ; 杨天亮译 ) 模拟评价 复杂变形特征条件下的区域地面沉降模型 (叶淑君 , 薛禹群 , 吴吉春 , 魏子新 , 李勤奋; 焦 珣译 ) MODFLOW 的 SUB-WT 模块在模拟美国加州 Antelpope 峡谷含水层系统压缩中的应用 ( Stanley A. Leake Devin L. Galloway ; 焦 珣译 ) 有限差分法在地下水位波动引发的地面沉降非线性分析应用 ( Hessam Yazdani, M. M. Toufigh,Amin Masoudzade ; 王 瑞译 ) 利用深层海水回灌人工抬升威尼斯城的热弹性效应 ( N. Castelletto, M. Ferronato,G. Gambolati, C. Janna P. Teatini ; 杨丽君译 ) 防治对策 荷兰地面沉降管理评论 ( F. B. J. Barends ; 彭文祥译 ) 地面沉降超限的预警系统 ( M. Nepveu, I. C. Kroon, P. A. Fokker ; 赵宝成译 ) 日本 Kanto 地下水盆地环境资源管理——地面沉降和监测系统 ( K. Furuno, A. Kagawa, O. Kazaoka, Y. Sakai,T. Kusuda H. Nirei ; 黎 兵译 ) 洪涝引发的地面沉降区的制度控制 ( Susan L. Baird ; 王 瑞译 ) 墨西哥城 Iztapalapa 市区地裂缝引发的城市风险预防设计指南 ( H. C. Carreón-Freyre, J. C. Rodríguez-Quiroz ; 陈 勇译 ) 附录 “第八届国际地面沉降学术研讨会”论文集英文目录 ( Dora Carreón-Freyre, Mariano Cerca, Devin L.Galloway, J.Jesus Silva-Corona ) “第八届国际地面沉降学术研讨会”论文集文题 (杨天亮译) 《 “第八届国际地面沉降学术研讨会”译文选编》 2011 年 6 月底已刊印 本译文集电子版 PDF 文本可从“上海市地质调查研究院”网站免费浏览下载 网址: http://www.sigs.com.cn/geolib/default.aspx?flag=1
第26届国际水力机械及系统研讨会(IAHR2012),将于 2012年8月19-23日 在北京清华大学召开,大会 主席吴玉林教授。 IAHR水力机械及系统会议每两年召开一次,是水力机械领域的最重要的国际学术会议之一,会议地点为欧洲、亚洲、美洲轮流举行。 以下为会议的一些信息: Topics The main theme of this symposium is the up-to-date technologies concerning hydraulic machinery and related systems, which will contribute to enhance the sustainable development of water resources and hydropower generation. The topics to be covered by this symposium include RD, Numerical and Experimental studies, Design, Operation, Monitoring, etc. as shown below: 1. Hydraulic turbines and pumps Design and optimization of hydraulic machines Unsteady flow phenomena in hydraulic machines Erosion and cavitating flows Environmental consideration in turbine design and operation Marine propeller systems 2. Sustainable hydropower Vibration in power plants and pumping stations Monitoring, diagnosis, optimization and maintenance systems Variable speed machines Small hydropower Ocean power utilization 3. Hydraulic systems Dynamic behaviour of hydraulic systems Valves and gates Water supply systems Sanitation, urban drainage, irrigation Free surface flows 4. Advances in computational and experimental techniques Models, algorithms and code development in hydrodynamics Simulation and analysis of cavitating and multi-phase flows Flow stability and control Advanced instrumentation Efficiency and vibration measurement and analysis 5. Innovative technology New materials and processes Hydraulic equipments for various industries Bearings design, optimization and monitoring Fluid transport in extreme conditions Call for Papers Papers dealing with any topic included in the above list are invited to the symposium. The abstracts in 400-500 words highlighting the main contributions should be submitted. Deadlines are: 1) 15th Nov., 2011 - Abstract 2) 15th Jan., 2012 - Acceptance of Abstract 3) 1st May, 2012 - Paper Manuscript 4) 1st June 2012 - Notification of Paper Acceptance 5) 1st July 2012 - Final paper The proceedings will be distributed during the symposium. Template for the final paper will be forwarded later on. Symposium Schedule 19th August (Sunday), 2012, Registration, Meeting of Executive committees 20th August (Monday), 2012 Opening ceremony, Invited lectures, Reception party 21st August (Tuesday), 2012 Invited lectures, Technical sessions, Entertainment 22nd August (Wednesday), 2012 Technical sessions, Banquet 23rd August (Thursday), 2012Technical sessions, Closing ceremony First announcement and call for papers 会议网址: http://www.26iahr.org/Default.aspx
IEEE/ACM Program Committee看见一位中国成员:梅宏---北京大学信息科学技术学院院长、软件研究所所长 A utomated reasoning techniques Component-based systems Computer-supported cooperative work Configuration management Domain modelling and meta-modelling Empirical software engineering Human-computer interaction Knowledge acquisition and management Maintenance and evolution Model-based software development Model-driven engineering and model transformation Modelling language semantics Open systems development Product line architectures Program understanding Program synthesis Program transformation Re-engineering Requirements engineering Specification languages Software architecture and design Software visualization Testing, verification, and validation Tutoring, help, and documentation systems Software analysis 主页
International Conference on Econophysics (2011) June 4-6, 2011 East China University of Science and Technology, Shanghai ICE2011 Conference Program June 4, Yi-Fu Building, Lecture Room (逸夫楼报告厅) 8:00-8:15 School buses from Shanghai Airline Travel Hotel to Yi-Fu Building Opening ceremony Time Speaker Title 8:30-8:32 Wei-Xing Zhou Opening address 8:32-8:40 Si-Wei Cheng Greetings from Honorary President of ECUST and ICE 2011 Chairman 8:40-8:45 Xu-Hong Qian President’s welcome address 8:45-8:50 Bai-Jun Wu Dean’s welcome address 8:50-8:55 Didier Sornette ICE 2011 Chairman’s address 8:55-9:00 H. Eugene Stanley ICE 2011 Chairman’s address 9:00-9:30 Photo-taking and tea break Chairman Wei Zhang (Tianjin University, Tianjin) Time Speaker Title 9:30-10:10 H. Eugene Stanley Economic fluctuations and statistical physics: Quantifying extremely rare events with applications 10:10-10:50 Yi-Cheng Zhang Can financial markets be efficient? 10:50-11:30 Luciano Pietronero Agent based models, self-organization and systemic risk 11:30-12:00 Fabrizio Lillo How efficiency shapes market impact? 12:00-12:20 Ding Chen Quant investment: An alternative view from a practitioner 12:30-13:10 Lunch at First Dining Room 13:20-14:00 Poster Tea at Yi-Fu Building Chairman Zeng-Ru Di (Beijing Normal University) Time Speaker Title 14:00-14:40 Wei Zhang An agent-based order-driven market model with calibration by scaling analysis 14:40- 15 :10 Bing- Hong Wang Study of the evolutionary games on complex networks 15: 10 -15:40 Tiziana Di Matteo The use of dynamical correlated networks to investigate volatile markets 15:40-16:00 Mao-Fu Qiu Taijiniu investment art of war 16:00-16:20 Tea break Chairman Bo Zheng (Zhejiang University) Time Speaker Title 16:20-17:00 Didier Sornette Financial bubbles with finite-singularity models and their calibration 17:00- 17 :30 Zeng-Ru Di Detecting important nodes to community structure in complex networks 17:30-18:00 Zhong-Xing Ye Some case studies of financial data mining 18:00-18:15 School buses from Yi-Fu Building to Shanghai Airline Travel Hotel 18:30-22:00 Banquet at Shanghai Airline Travel Hotel Session A, June 5, Third Teaching Building (三教三阶上) 8:00-8:15 School buses from Shanghai Airline Travel Hotel to Third Teaching Building Chairman Woo-Sung Jung (POSTECH, Pohang) Time Speaker Title 8:30-9:00 Serge Galam Fair value and common beliefs: An application from sociophysics 9:00-9:25 Jun-ichi Inoue A statistical-mechanical modeling of labor markets 9:25-9:50 Lian-Zhong Zhang Approximate best response in volunteering public goods games 9:50-10:05 Yu Du Mixed-game behavior mining for stock market predictions 10:05-10:20 Oishi Koji Formations of exclusive communities on festival games 10:20-10:40 Tea break Chairman You-Gui Wang (Beijing Normal University, Beijing) Time Speaker Title 10:40-11:10 Misako Takayasu Application of PUCK formulation to Financial Market Fluctuations 11:10-11:35 Hong-Gang Li A consolidated model of self-fulfilling expectations and self-destroying expectations in financial markets 11:35-12:00 Li-Xin Zhong Extreme heterogeneity inhibits cooperation in coevolutionay CSG 12:00-12:15 Tong-Kui Yu Costly punishment and collective cooperation: Theory, experiment and simulation 12:15-12:30 Wei-Te Yu A web-based exchange for market research 12:30-13:10 Lunch at First Dining Room 13:20-14:00 Poster Tea at Third Teaching Building Chairman Fabrizio Lillo (Universita' di Palermo, Palermo) Time Speaker Title 14:00-14:30 Boris Podobnik Coupled Simon model: Predicting probability of bankruptcy using Zipfscaling 14:30-14:55 Yu Chen An agent-based model for the analysis of leverage limitation in financial markets 14:55-15:20 Rudolf Fiebig Simulating financial markets with a microscopic self-organizing critical model 15:20-15:45 Kun Guo Development of fictitious economy in China and its relationship with real economy: Based on thermal optimal path method 15:45-16:00 Wen Fang Voter interacting systems applied to Chinese stock markets 16:00-16:20 Tea break Chairman Diego Rybski Time Speaker Title 16:20-16:50 Ji-Ping Huang Revisiting "the invisible hand" in resource allocation 16:50-17:15 Yan-Lin He The information revelation ability of inquiry system: Evidence from China 17:15-17:40 Yong Fang Nonlinear herding mechanism and asset price evolution 17:40-17:55 School buses from Third Teaching Building to Garden Hotel 18:00-21:00 Banquet at Garden Hotel Session B, June 5, Third Teaching Building (三教三阶下) 8:00-8:15 School buses from Shanghai Airline Travel Hotel to Third Teaching Building Chairman Xiao-Song Chen (Chinese Academy of Sciences, Beijing) Time Speaker Title 8:30-9:00 Gabjin Oh Characteristics of limit order books 9:00-9:25 Vladimir Filimonov Modeling financial time series using the self-excited multifractal process 9:25-9:50 Ying Yuan Measuring multifractality of stock price fluctuation using multifractal detrended fluctuation analysis 9:50-10:05 Ladislav Kristoufek Multifractal height cross-correlation analysis 10:05-10:20 Hua-Xi Zhou The fat tails of price fluctuations in Chinese stock market 10:20-10:40 Tea break Chairman Boris Podobnik (University of Rijeka, Rijeka) Time Speaker Title 10:40-11:10 Bence Toth Price impact of metaorders: The latent order book 11:10-11:35 Yu Wei A copula-MFV hedging model for CSI 300 index futures 11:35-12:00 Zhi-Qiang Jiang Multifractality in financial markets: Methods and applications 12:00-12:15 Tomasz Gubiec What is the true origin of autocorrelations on a stock exchange? 12:15-12:30 Alexander Petersen Quantitative law describing market dynamics before and after interest-rate change 12:30-13:10 Lunch at First Dining Room 13:20-14:00 Poster Tea at Third Teaching Building Chairman Serge Galam (Ecole Polytechnique, Paris) Time Speaker Title 14:00-14:30 Sai-Ping Li Quantitative analysis of the stylized facts in financial markets 14:30-14:55 Woo-Sung Jung Complexity analysis on the Korean system: Financial market as an emerging market and transportation system as complex systems 14:55-15:20 Jonathan Batten Price runs, volatility and volume 15:20-15:45 Rui Gonalves Universality in stock markets fluctuations 15:45-16:00 Lei Zhang The research of returns distribution of China’s stock market based on Tsallis theory 16:00-16:20 Tea break Chairman Bence Toth (Capital Fund Management, Paris) Time Speaker Title 16:20-16:50 Tobias Preis Switching processes in financial markets 16:50-17:15 Hui-Jie Yang Hurst exponents for short time series 17:15-17:40 Yi-Ming Ding Nonstationarity measure of stock markets: Some empirical results 17:40-17:55 School buses from Third Teaching Building to Garden Hotel 18:00-21:00 Banquet at Garden Hotel Session C, June 5, Third Teaching Building (三教 107 ) 8:00-8:15 School buses from Shanghai Airline Travel Hotel to Third Teaching Building Chairman Sai-Ping Li (Academia Sinica, Taipei) Time Speaker Title 8:30-9:00 Rui Menezes The impact of financial crises in EU stock markets 9:00-9:25 Adriano Souza (by Rui Menezes) The behavior among the major stock exchanges in the world and the Brazilian BOVESPA Index 9:25-9:50 Ryszard Kutner Catastrophic bifurcation on the Warsaw stock exchange 9:50-10:05 David M. de Lachapelle Improving portfolio allocation with long-memory covariance estimators 10:05-10:20 Gao-Xiu Qiao A forward measure approach to hedging defaultable contingent claims 10:20-10:40 Tea break Chairman Ji-Ping Huang (Fudan University, Shanghai) Time Speaker Title 10:40-11:10 Diego Rybski Company networks and their correlations beyond nearest neighbors 11:10-11:35 Sofia Portela Dynamic modeling of asymmetric signals in financial markets 11:35-12:00 Tian Qiu An instantaneous cross-correlation based financial network dynamics 12:00-12:15 Shamshuritawati Sharif A social network analysis to analyze safety culture and worker behavior 12:15-12:30 Jing Deng Systemic risk and market structure 12:30-13:10 Lunch at First Dining Room 13:20-14:00 Poster Tea at Third Teaching Building Chairman Tobias Preis (Boston University, Boston) Time Speaker Title 14:00-14:25 Guillermo Sierra Juarez Options valuation, volatility and term structure model of underlying long memory features: The case of Mexican Stock Market Index 14:25-14:50 Tomasz Werner Modeling of dragon-kings 14:50-15:15 Fei Ren Reoccurrence interval analysis in Chinese stock markets 15:15-15:30 Wan-Feng Yan Inferring fundamental value and crash nonlinearity from bubble calibration 15:30-15:45 Hao Ye The analysis of financial bubble and anti-bubble based on LPPL model 15:45:16:00 Xu-Qing Huang Robustness of coupled networks under targeted attack 16:00-16:20 Tea break Chairman Hernan Rozenfeld (APS Editorial Office, New York) Time Speaker Title 16:20-16:45 Jonathan Batten Scaled volatility as a measure of market efficiency 16:45-17:10 Xin Weng The mobility of volume of Chinese stock markets 17:10-17:25 Jozef Barunik Forecasting volatility with wavelet-based realized variance estimator 17:25-17:40 Zheng Chen Function of the intrinsic value of the assets determined by the yield equation and its properties 17:40-17:55 School buses from Third Teaching Building to Garden Hotel 18:00-21:00 Banquet at Garden Hotel Session D, June 5, Third Teaching Building (三教 212 ) 8:00-8:15 School buses from Shanghai Airline Travel Hotel to Third Teaching Building Chairman Yu Chen (University of Tokyo, Tokyo) Time Speaker Title 8:30-9:00 You-Gui Wang Monetary perspective on dynamic structure of macroeconomics 9:00-9:25 B. G. Sharma Multiscale entropy analysis approach to the study of Bombay Stock Exchange Index 9:25-9:50 Mario Bertella Controversies of the neoclassical theory of finance, the behavioral finance and the agent based models 9:50-10:05 Shu-Peng Chen Bubble dynamics and trader’s behavior: A multi-agent perspective 10:05-10:20 Chao Wang Why market integration matters? 10:20-10:40 Tea break Chairman Rui Menezes (ISCTE Business School, Lisbon) Time Speaker Title 10:40-11:10 Xiao-Song Chen Principal fluctuation modes of global stock market indexes and their characters 11:10-11:35 Peng Wang Retrospect and prospect of the research on econophysics 11:35-12:00 Jiang Zhang Size-dependent distribution and allometric scaling 12:00-12:15 Jin-Zhong Guo Firm size mobility and Validity of Gibrat’s model 12:15-12:30 Hale Kirer Firm size distribution in Turkey’s top 1000 12:30-13:10 Lunch at First Dining Room 13:20-14:00 Poster Tea at Third Teaching Building Chairman Tiziana Di Matteo (King's College London, London) Time Speaker Title 14:00-14:30 Hernan Rozenfeld The area and population of cities: New insights from a different perspective on cities 14:30-14:55 Wei-Xing Zhou Complex financial networks: A brief survey 14:55-15:20 Qing-Hua Chen What is behind the individual donation? 15:20-15:45 Lei-Lei Shi Market crowd's trading conditioning and its measurement 15:45-16:00 Maciej Jagielski Comparison of households’ income in Poland with European Union and United States ones 16:00-16:20 Tea break Chairman Misako Takayasu (Tokyo Institute of Technology, Tokyo) Time Speaker Title 16:20-16:45 Javier Villarroel Effects of Non-Markoviannes in mean exit times in risk theory 16:45-17:10 Xiao-Neng Zhu A regime-switching Nelson-Siegel term structure model and interest rate forecasts 17:10-17:25 Jing Huang The time dependency of relative mobility in money exchange models 17:25-17:40 Sheng-Li Chen Forecasting stock index trend based on GA-SVM and Yin-Yang volatility on multiple time scales 17:40-17:55 School buses from Third Teaching Building to Garden Hotel 18:00-21:00 Banquet at Garden Hotel June 6, Yi-Fu Building, Lecture Room (逸夫楼演讲厅) 8:10-8:15 School buses from Shanghai Airline Travel Hotel to Yi-Fu Building Chairman Rosario N. Mantegna (University of Palermo, Palermo) Time Speaker Title 8:30-9:10 Hideki Takayasu Electronic composite currency system for aversion of instability of foreign exchange markets 9:10-9:40 Bo Zheng Cross-correlation decomposition and its application in financial systems 9:40-10:10 Fabio Pammolli Size and growth of business firms 10:10-10:30 Tea break Chairman Bing-Hong Wang (University of Science and Technology of China) Time Speaker Title 10:30-11:10 Shlomo Havlin Robustness of network of networks 11:10-11:50 Rosario N. Mantegna New approaches in the investigation of correlations of stock returns and index returns 11:50-12:00 Didier Sornette Closing remark 12:00-12:15 School buses from Yi-Fu Building to Shanghai Airline Travel Hotel 12:30-14:00 Lunch at Shanghai Airline Travel Hotel
1st IEEE Workshop on Modeling, Simulation and Visual Analysis of Large Crowds in conjunction with 13th International Conference on Computer Vision (ICCV) 6-13 November, 2011, Barcelona, Spain The workshop encourages interdisciplinary (vision + graphics, evacuation dynamics + vision, etc.) contributions. Papers should describe original and unpublished work about the above or closely related topics. Each paper will receive 3 double blind reviews, which will then be moderated by the workshop chairs Workshop Goals Problems related to analysis of crowded scenes arise in a variety of contexts. A surveillance system installed in a city center may be interested in detecting individual objects that traverse the crowded scene to bootstrap its tracking module. At another location, a similar system may be interested in counting the number of people or estimating the density of crowd. Furthermore in context of object tracking, following individual person, a group of people, or the entire crowd may be of interest. Similarly event recognition systems may be interested in understanding what is happening in a scene by collecting local as well as global crowd statistics. Developing mathematical models of crowd movement and people interaction for simulation and modeling purposes is yet another area of interest. It is generally agreed that in low density environments the problems described above are well understood and relatively mature solutions exists to solve them. However computer vision research for moderate or high density environments is still in its early stages. Although attempts have been made in published literature to extend conventional computer vision algorithms designed for low density scenes in order to address some of the challenges of crowded scenes, these techniques alone appear insufficient to solve the new set of challenges posed by moderate to high density crowds. In recent years an encouraging new development has been the emergence of crowd motion and interaction models, originally developed in sociology, and adopted by computer graphics scientist for simulating realistic crowd behaviors. These models, social force model being one of them, depict crowd motion and interaction and can be used for simulating different emergent behaviors among a large number of agents or humans. Such crowd simulation systems are used for architectural and urban planning, enhancing virtual or training environments, animation characters for movies and games, as well as online virtual worlds (e.g. Second Life). In addition, group of researchers and practitioners in architecture, civil and fire safety engineering, physics and mathematics have been working on pedestrian and evacuation dynamics, which addresses issues related to whether the crowd behavior in an emergency situation is predictable and what are the different patterns occurring in pedestrian flows based on common rules. Their main goal is modeling and simulation of pedestrian and crowd movement as well as the dynamical aspects of evacuation processes. We believe computer vision research on visual analysis of crowds can greatly benefit by bringing together researchers from areas of computer vision, computer graphics, physics, and evacuation dynamics. Such a gathering will lay down a foundation for an integrated analysis-synthesis approach for crowd modeling, where complementary viewpoints and techniques from these areas are used to develop additional insight into crowd analysis, modeling and simulation problem. The focus will be on exchange of ideas on how to develop visual crowd analysis capabilities that make use of crowd simulation and evacuation dynamic techniques. As a byproduct, computer graphics and evacuation dynamics community will also benefit as this workshop will lead to improved methods for data-driven modeling, simulation and analysis of large-scale “heterogeneous crowds” using video recordings of real-world crowds. We hope to address following scientific questions and challenges through the workshop: What are the general principles that characterize complex crowd behavior of heterogeneous individuals? How can verifiable mathematical models of crowd motion and interaction can be developed based on these principles? How these general principles can be used to enhance performance of low level vision tasks such as object detection, tracking, and activity analysis in crowds? What are the possible problem areas that will benefit from simulation models for enhance video analysis capabilities (e.g. tracking, target acquisition across sensor gaps, and sensor hand-off techniques etc.). At what granularity level (micro, macro) should such analysis-synthesis approach be applied? =============================================================== Call for Papers Papers describing novel and original research are solicited in the areas related to visual analysis of crowded scenes. Topics of interest include but not limited to: Single and Multi-camera Tracking in High Density Crowds Event Analysis in Crowded and Cluttered Scenes Group Activity Analysis Action Recognition in Crowds Applications of Visual Crowd Analysis Systems Crowd Flow Analysis Data Driven Crowd Simulation Behavior Understanding Crowd Interaction Models and their Applications to Object Detection Tracking and Event Analysis Force based Models for Pedestrian Dynamics in Crowds. Image and Video Features for Crowd Modeling Datasets/ Model Validation/Calibration/Algorithm Testing/Annotation Techniques for Crowd Research =============================================================== Important Dates Submissions Deadline: July 15, 2011 Reviews Due: August 15, 2011 Camera Ready Papers: September 15, 2011 Workshop: November 7, 2011 ======================================================================== General Chairs Mubarak Shah (University of Central Florida) Dinesh Manocha (University of North Carolina) Rita Cucchiara (University of Modena and Reggio Emilia) =========================================================================== Program Chairs Saad Ali (SRI International Sarnoff) Nuno Vasconcelos (UC, San Diego) Fatih M. Porikli (MERL) Ko Nishino (Drexel University) Program Committee Anders Johansson (University College London) Norman Badler (Univ. of Pennsylvania) Bastian Leibe (RWTH) Antoni B. Chan (City University of Hong Kong) Song Chun Zhu (UCLA) Robert Collins (Pennsylvania State University) Andreas Schadschneider (University of Cologne) Stefania Bandini (University of Milano-Bicocca) Katsuhiro Nishinari (University of Tokyo) Josef Sivic (INRIA / ENS) Julien Pettre (INRIA) Rogerio Feris (IBM T.J. Watson) Gabriel Brostow (University College London) Omar Javed (SRI International Sarnoff) Ugur Gudukbay (Bilkent University) Majid Sarvi (Monash University) Xiaogang Wang (The Chinese University of Hong Kong) Rynson Lau (City University of Hong Kong) Maik Boltes (Jülich Supercomputing Centre) Daisuke Sugimura (University of Tokyo) Basim Zafar (Hajj Research Institute) Contact Saad Ali - saad dot ali at sri dot com
意大利国际学术会议经验贴 2010年10月份去意大利COMO参加了ISTP组织的APMS(advanced manufacturing and production )先进制造生产国际会议( http://www.apms-conference.org/ 2010.10.11-2010.11.13)。我是完全的独行大虾...教授不知道为啥这么合作,说自己忙不去,让我一个人自由翱翔...我表示很惋惜,其实心里都乐开花了...一个人有好处也有坏处,出门不少碰钉子,所以跨洋过海的参加一次国际会议,总算长了不少见识,也走了不少弯路,写个经验贴,给后来的兄弟姐妹们做个参考。 会议选择 参加国际会议,一般是自己选择或者教授给指定的,这个是教授推荐的。不知道如何挑选国际会议的可以参看这个帖子, http://blog.vsharing .com/scm56/A1239461.html. 我参加的APMS主要是管理科学工程、物流供应链、制造、生产流程相关专业比较对口的国际会议。这个会议总体来说感觉质量还算中等。因为论文的审查也算严格。欧洲的国际会议应该总体来说是比亚洲地区级别稍微高些的吧,至少我们专业是这样。 会议重要日期 切记好会议的重要日期(去年的和今年的类似,就贴个今年的)比如我这个是这样的 Hereafter the important dates of APMS 2011: 15 February 2011 Proposal for Special Session提交的话,在网站申请账号上传即可,以后就在这里查状态和信息就行。 30 April 2011 Submission of Extended Abstract (min. 2.000 words)主要是这个基本上大半篇论文了,注意和ABSTRACT不一样 20 May 2011 Review process deadline 31 May 2011 Notification of acceptance of Extended Abstract这个被ACCEPT后,就是接受了,等着叫最后论文和参加会议即可。当时我的论文,三个评委都基本上同意了,就ok了。 31 May 2011 Submission of Doctoral Workshop Paper 15 July 2011 Final paper and registration fee due 最终论文提交 23-24 September 2011 APMS Doctoral Workshop 26-27-28 September 2011 APMS 2010 Conference 这个流程走完,基本上就是准备杂事,去参加会议了。 会议准备 基本上我是按照下面的流程准备的,10月11日参加会议,所以基本上提前一个月准备就好。 签证 这个至少要提前一个月申请,以免过程中再耽误时间。我是在韩上学,所以从韩国出发,在意大利驻韩国大使馆办理的签证。网址: http://www.ambseoul.esteri.it 。但估计国内意大利大使馆要求的签证也都差不多,国内的童鞋可以参考国内意大利大使馆网址的要求。 直接根据自己情况去网上查就行。我发email问了下,使馆人员及时给我回复了,告诉我要准备的材料。参看附件1。 大概材料是 Requirements to obtain a short-stay visa for Invitation (to participate to a conference in Italy) 1. Application form + 1 picture申请表 ,专用的护照签证照片,去照相馆告诉照相的师傅就行 2. Passaport + 1 photocopy 照片(护照) 3. Certificate of Alien Registration issued by the Immigration Office + 1 photocopy (外国人登陆证) 4. Airticket (return ticket) + 1 photocopy(机票) 5. Invitation Letter in originale from the organization of the conference in Italy (with seal + signature + personal data of the applicant + purpose and period of stay)邀请信 6. Receipt (Payment of participation fee)会费票据 7. Certificate of Attendance or Certificate of Employment in original issued by the Korean university 在校证明 8. Declaration concerning the exepenses during the sojourn in Italy issued by the Korean university or proof of sufficent means of subsistence such as statement of account, travellers’ cheques, credit cards or any other means offering proof of resources in foreign currency 经费支持证明 9. Documentation concerning the intended accommodation, e.g. hotel reservation, rental contract, invitation letter, cetificate of commitment by the host, legalized by the competent local authority in the Schengen country, etc. 旅馆住宿证明 10. Overseas travel insurance – minimun insured amount30.000 Euro.海外保险(这个自己花大米买的,大概花了500rmb在韩国AIG买了个海外旅行险) –stipulated with one of Insurance Companies (AIA, LIG, Samsung Fire Marine Insurance Company ecc.) ·No visas are issued by the Italian Embassy directly.Allvisas must be authorized by the Italian Ministry of Foreign Affairs. · It is required that the visa applicants apply personally to the embassy. . Administrative fee: KRW94.500 (= Euro 60) 办理签证费用 Administrative fee for Russian Nationals: KRW 55.200 (=Euro 35) 这里办理短期签证,快的话,一个星期就能下来,不像有朋友去法国的,整了一个月。但是建议童鞋们提前弄好。我当时去了两次,第一次是旅馆日期定了三天的,可是在意大利要待一周,所以被发现要求重新弄旅馆材料。去使馆前,尽量别太太多钥匙硬币之类的,要过安检,都得掏出来,麻烦。 订旅馆 因为要用订旅馆的证明来申请签证,所以预先要订好旅馆。一般国际会议带的都有比较贵的旅馆,我没跟教授一起,就不讲究那些,自己预订的比较便宜的家庭旅馆。有了预订单打印出来就好,基本上给旅馆发的email打印出来就可以证明。我实在这个网站上找的 http://www.hihostels.com 。因为开会的地方como是旅游地,但还好那时不算旺季,这个家庭旅馆一晚25欧一个床铺,算是便宜的了。话说那个旅馆位置也很好,离开会的地方很近,步行都可以到,所以大家订旅馆,最好订离开会地点近的。 如果和教授一起,估计就直接订会议带的四五星级的宾馆了。我们学校给的,每天教授最多160欧,学生100欧,如果贵的旅馆,基本上旅馆就要占大部分。大家自己安排。 建议:最好订家庭旅馆,自己的话,或者hostel。 有很多地方有中国人的家庭旅馆,比如威尼斯,佛罗伦萨,等地很多,提前找了订好,吃住很好,也很便宜。会议所在地的话,大家上网查就好。 订机票 网上订票:这个都是签证需要的,所以要提前订好机票,越早订也越便宜。我是在韩国onlinetour上订的。 www.onlinetour.co.kr. 订的香港国泰的飞机。往返120万韩币,和人民币7000多吧。 学校报销:找好票后,因为会议经费由BK赞助,学院办公室BK奖学金负责人就可以给买票了,这部分费用不用担心。 申请报销,换钱,预算 出差申请书:学院会有这个申请表,上面包括 机票 ,住宿,吃饭,交通费,会费等五大项,其中,机票和会费是固定的,剩下的,会有最高限额,像学生每天最多100刀总共,自己看着分配做好预算,填好表上交给办公室即可。办公室会在一周内将钱就打到我的账户了,这些资金就自由支配了,当时发给了我大概6000人民币,最后我都没留活口全消费了,还倒贴了点儿~~这是韩国的流程,会议开完后,只要把飞机票和会议报告交到办公室即可。其他的凭据没有需要,反正事先钱都打给我了,也不会要回去。 统一换成rmb吧, 机票:7000 住宿吃饭交通费:700/天*7=5000 会费:500欧(话说这个会议很贵,教授都心疼了)等大约总共花了17000-20000人民币? 这个不准确,时间太久,我不确定了,仅供大家参考,但这部分费用都是报销的。 日程安排及行程计划 这个一定要充分利用网络,订好自己的行程,灰常重要~~ 10.9日上午十点飞机,首尔——香港 10.9日香港半日游。(这个纯粹我自己加的,偶然发现原来到香港可以出境去玩儿,于是联系了hk的朋友逛了大半天,飞机是半夜1点的,玩儿完半天刚好晚上飞,所以去欧洲开会的童鞋也可以效仿,买香港转机的飞机票,可以再香港玩儿~~) 10.10 到达米兰 白天逛米兰,下午火车4小时到科莫,晚上有个welcome party,这个party大都是年轻人,穿的很轻便,有很多cookies和葡萄酒,大家就互相聊天,我去晚了~~也没和其他人多聊几句,感觉有些陌生,有点儿格格不入的感觉,毕竟第一次来欧洲,总有些不习惯,也很放不开。 10.11-13 日科莫开会 开会其实很快,三天就over,后面详细叙述 10.13日上午: 维罗纳 verona 罗密欧朱丽叶故乡 10.13日下午: 威尼斯 10.14日下午: 佛罗伦萨 10.15日下午:比萨 10.16日上午:罗马 10.17日下午:罗马到米兰 10.18日上午:米兰到香港到首尔 日程很紧,后面这些项目是自己加的,自己在开会时间之外适当加了几天,还好耶申请通过了,嘿嘿,这就是没有教授的好处吧,自由行了...基本上意大利的几个名城都去了,但再往南的庞贝古城和西西里岛,等以后有机会再去吧。 大家要充分查好铁路的时间,意铁网: http://www.ferroviedellostato.it/( 意大利基本几个城市之间都靠铁路最方便,买票换票也很方便) 行李准备 钱:这个没有可不行那,这就是出门的命根子那,换成欧元带好,放在安全地方,据说意大利治安不好,一定要注意安全,我在威尼斯的当晚,同屋的一个台湾mm钱包在街上被抢~就是吃冰淇淋时候,人家趁他钱包没放回去,和她搭讪,趁他不懂意大利语还在发呆的时候,就立马抢走了,所以一定要小心。 证件:护照,身份证,银行卡,信用卡(建议带海外可以划的信用卡,我用的建行名校卡,很好用) 会议 :会议资料(邀请函,会议地址,会议日程,感兴趣教授资料之类),重要论文,硬盘(可省),USB,PC(这个建议要带,虽然沉,但很有用,当时犹豫了很久还是带了) 通讯用具 :手机,手机充电器,转换插头。这个特别提醒,国内的手机,可以带到itlay插sim卡,有那种专门的卡卖,很方便。我当时没带,没电话用,实在是不方便的很,所以,有电话的一定带着。 日用 :洗漱用品,化妆用品,转换插头(有的旅馆提供,但是自己带着保险)。 服装 :正装一套,晚宴用裙子一条,高跟鞋一双,运动鞋穿着,平时穿的衣服1-2套。 话说这里开会期间,大家也都很休闲,只要是黑色系,大家基本上都是双肩包(深色,正式),运动休闲鞋,男士是正装或者深色休闲都可以,女士只要颜色正式,不必要非要很正式的衣服,牛仔裤也可以,但是运动鞋尽量深色的,不要太明显。反正不知道穿什么的话,一定记得就是黑色最稳妥。 食物 :当时确实带了两盒米线,派上用场了,有两天实在想吃点儿带汤带面的热乎饭,就泡面吃了。饼干,零食,士力架,这些国外都贵,所以备着平时犯零嘴吃很方便。 发表准备 :ppt做好可打印出来一份,控制好时间7-8分钟。 社交准备 :感兴趣的教授,论文可以做好标记,有所了解。 语言准备 意大利是意大利语国家,很多年轻人会英语,但是年纪大点儿的就只说意大利语了。所以,学点儿简单的很有用。比较搞笑的是: 【你好!】和【谢谢!】:抄(见人就抄,抄啥呢?不是打小抄吧?那可是作弊呀!傻蛋!) 【干杯!】:轻轻---(估计意大利的杯子不结实,所以要对人说:轻轻) 【朋友】啊眯购。 【姑娘漂亮】白拉-啊眯卡 【帅哥】 白拉-螺母 会议参加 : 会议日程表之前会贴在网站上,也会发email给你,所以大概看下,心里有数。我们的会议日程基本上是这样: 10.10日晚: welcome party 很多年轻人,就在宾馆的二楼一小层,地方很小,有cookie,wine,大家站着聊天,我去晚了,人都不多了,没啥意思,不去都行。 10.11日上午:很重要!!注册,报道,领取你的资料(会发一个布袋子,很环保,但也很寒碜那。。亏了我们那么多会费,还有usb,会议日程表),尤其是会费的receipt,这个需要带回去报销会费的,注意。 会议正式开始,上午开幕式,各种讲话,会议主席,邀请到的名人等等,困。我看了看,从国内院校来的基本没有,但是有在欧洲的中国留学生,还有韩国首尔大学的一教授带一韩国学生,还有几个日本来的教授和学生。会议上人们讨论制造,讨论先进制造的发展方向,现在制造业的发展和困境。其实,中国制造现在是世界上最庞大的品牌,现在一群欧美人在这里讨论各种趋势,却不联系到中国,而且这个会议从没进行过和中国的合作,挺让我不齿~~不过,就是个国际会议,我也不给它提升到那么高,总有一天,中国制造会提升自己的位置,以品质和技术制胜的~~ 会间休息:每个上午下午都会有会见休息的30分钟,coffee time,有各种好吃的cookies,很喜欢那,还有饮料和wine,各种苏打水(难喝死啦)~~ 午饭:很正宗的意大利饭,risotto,还有鱼,单那意大利语的名字,都够我领悟的了。 10.11日下午:会议开始发表。分有A-F的会议室,不同的主题,每个上午大概会有8个paper,每个屋子,每个人15分钟,加提问。每个会议室一个会议主持。 你可以随处去听你感兴趣的,然后提问之类的。 10.11日晚:音乐会。 在hotel的大厅里面,邀请了著名的竖琴和长笛演奏家。这算是偶银生中第一次听音乐会吧,弹竖琴的是个科莫本土的美女,实在让人羡慕,像古罗马的公主一样。第一次听竖琴,如痴如醉那~~ 自助晚宴 音乐会完还有晚宴,完全不顾及自己长肉与否了,吃到了好吃的千层面,是给每层面中间加上蔬菜鸡蛋和肉,一层层下去,蒸烤出来的,这个是我觉得意大利最好吃的东西之一了。除了gellato,和威尼斯的墨鱼面。 10.12日上午:我的presentation,带着衣服,到那里换的。早上起来,步行去开会地,沿着como湖,我对我的presentation基本上倒背如流了,各种问题也都准备好了。清晨的como湖如此美丽,金色的阳光洒在湖面上,让人陶醉。乔治·卢卡斯导演《星球大战II:克隆人进攻》时,就把天行者安纳金和艾米达拉萌生爱意的外景地,选择在了宁静如画的科莫湖。如果说湖水给科莫湖以空灵的神韵,那么,湖畔人家则为不食人间烟火的湖水增添了恰到好处的世俗氛围。在苍翠山坡和宝蓝色湖水之间的花岗石岸边,一个个小镇依山傍水而建,怪不得被选过世界最美的十个地方之一。以前只在网上看到的图片,竟然真是呈现在眼前那种感觉,实在是妙不可言。 到会议房间后,copy好ppt,自己坐下来等待到自己发言。我前面的童鞋来晚了,所以我的发表也提前了,发表的时候很顺利,一气呵成,主席问了一个问题,就结束了。话说有些要听我演讲的朋友,来的时候,我都讲完了,他们遗憾了~~我可不管了,倒是解放了~~嘿嘿,下一步,逃课。 10.12日下午:发表早早做完,就立马逃跑了,会议么,听几场自己感兴趣的就够了,剩下的时间要充分利用才是,嘿嘿。 下午去著名的科莫湖的贝拉焦岛转了一圈儿,坐船要一个多小时才到,有些远,但是那个地方真的是梦中才有梦到过的地方,欧式的安静的小巷,广阔的庄园,美的无语。我坐在湖边晒太阳,差点儿睡着了,来个南柯一梦,梦到个意大利帅锅....说笑了~~ 10.12日晚: Gala dinner。 事先不知道这是啥东东,google下才知道这算是晚宴,这个一定要有邀请函才行,地点还设在como市内最有名的大剧院的餐厅。我去迟到了,很丢银,关键是没座位了,后来给加的座位,整的很尴尬。所以重要的一点,千万不要迟到,会议也是,晚宴也是,很不礼貌,对自己也很不方便。 按理说,正式的gala dinner是女士们可以穿晚礼服,带饰品,男士们也是正装的晚宴,但因为是会议的吧,gala dinner其实就是大伙围着桌子吃饭,不是自助式的,菜是分一道道上的,前菜,沙拉,牛排,ristto,意大利面,甜点,咖啡之类的。 周围是很多教授,和他们聊聊,也算社交了吧,大家换名片之类的。 所以说,国际会议就是学术的社交场合,如果是教授合作之类的很容易在这里认识,学生的话,总觉得有些不适应。如果有兴趣要来欧发展,就多跟教授聊聊,要要名片,以后有机会去他的实验室。 10.13日 会议闭幕,合影留念。 10.13日下午我就离开科莫,前往威尼斯了,剩下的就是意大利几日游的内容了,大家可以去背包兔看,很多前人的帖子。我就不多说了 tips:会议上注意拍照,给自己做点儿纪念,也好回去交差。要到的名片也好好整理,以后没准儿用的上。 会议后报告和报销 会议后回校要交报告(贴了几张照片,写了一页的report) 报销:飞机票登机牌贴上给office即可。剩下的凭据零碎的,没有用。(韩国是这样,估计国内流程不一样,大家保管好各种收据比较好) 会议后总结: tips: 1.欧洲的国际会议,算是级别还可以,比较正式。会议收录论文也很谨慎,审查较严格。但是总体算不难,可以放心投。 2.尽量多结交大牛教授,相关领域的研究者,要名片,讨论问题。 3.做发表不用紧张,我见了很多意大利人,那英语还不如我那,咱的发表比很多人好很多倍了,大家不用担心语言。 4.不要害怕,大胆和别人交流,会交到很多朋友吧,很多欧洲人也对亚洲很感兴趣的,大家可以交朋友。 3.注意安全,钱,护照,证件。 4.出门在外,对自己好点儿,不用太省,别花冤枉钱就行。 5.充分利用开会时间,多转转,开阔视野。 6.旅行中的花销,记得都要票,留下凭证报销。 7.好吃的千万别忘了吃,嘿嘿,gellato,pasta,risotto,那个千层面,还有威尼斯的墨鱼面,当然还有pizza,和沁人心脾的提拉米苏。 因为隔了几个月才写,所以很粗略,手都写累了,只希望大家以后去了有个经验,也以后有机会去那里开会游玩。如果帮到大家,更是倍感荣幸。 这一趟走下来,最难忘的竟然还是开会的地方,那个叫COMO的边陲小镇,还有水都威尼斯。这实在是一个浪漫的国度,如果有机会,成双成对儿的去,那是最理想不过的啦~~等我以后也找到那一半,就两人一起把剩下的西西里和庞贝古城给走完,就了无遗憾啦~~哈哈哈~~ 传几张pp,手也写疼了,大半天也不务正业了,拜托斑竹多给发几个BB嘿嘿,朋友们路过的觉得不错的就顶下吧,谢谢啦~
准备4月中旬带一个研究生去美国休斯顿参加由国际宇航科学院(International Academy of Astronautics) 组织的第十八届“人在太空”国际会议。 大使馆预约的签证时间我是3月2号上午,学生是3月3号上午。结果我和学生都被check了 08年去美国开会的时候就被check了一次,虽然后来通过了。但因为汶川大地震的原因没有去成。
ISACS6 (International Symposia on Advancing the Chemical Sciences)将于2011年九月二日至五日在北京大学举行。该国际会议的主题为‘Challenges inOrganic Materials Supramolecular Chemistry‘。这是英国皇家化学会第一次在国内举行大型的国际会议。此次与北京大学联合举办。中国化学研究的发展,对世界化学领域的贡献越来越重要。通过这次会议,对促进国际化学的交流一定会起到积极的作用。 请有意愿参加该会的化学工作者,从相关网站 http://www.rsc.org/ConferencesAndEvents/ISACS/OrganicMaterialsAndSupramolecularChemistry/Home.asp 了解更多的信息。
我的外语是半吊子,去年在我校召开国际会议,本来是准备了英文致辞的,可头天晚上,严院士说参会者大多是华人的场合,要提倡讲中文,我听后正中下怀。第二天开幕式之前,组织者临时决定要我主持开幕式的一段,介绍领导与嘉宾,这可难为了我,坐在台上的领导与嘉宾都是中国人,预先没“备课”,有些头衔我还真不知怎么说,想起了严院士头天晚上的一席话,于是我用英文将严院士的话复述了一遍,然后说:“ I would speak Chinese ”,并对老外们报以微笑:“ I am sorry ”,听得大家哄堂大笑,接着我用母语主持起会议并致辞。 本人的英语用来读写专业文献绝对没有问题,做学术报告勉强能支撑,但要深入阐述学术思想(比如用英文讲课)就力有不逮了,我想这可能是相当多一部分土鳖的实际英语状况。尽管我的英文不咋的,但我绝不会学那伊索寓言中被猎人剪断了尾巴的狐狸一样劝别的狐狸也剪掉尾巴。事实上,外文对于一切学科包括社会科学的研究都是重要的,在文科中,最被认为可以不需要外语的大概是古代汉语,可我们知道,老外也有研究古汉语的,如果不懂古汉语,还如何理解中医?更不用说研究了。据说日本的商人最喜欢看中国的孙子兵法之类的,当然,估计是看日文版的,可如果我们不懂外文,人家假若在译著里胡说八道一通,甚至把二次大战、靖国神社之类的也作为注释放进去,你还如获至宝,大赞中日如何友好云云,岂不要贻笑大方? 做研究的人应该懂外文是件不容置疑的事情,对于研究生而言,假如你的理想是做一个科学研究工作者,那你至少应该懂一门外语,否则总是指望着有人把文章翻译了给你看恐怕是不现实的,也不可能跟上研究的步伐。没有人会专门翻译某个领域的专业文献给你看,翻译出来的通常都是些科普或经典的文献,绝大多数的专业文献只能依靠研究人员自己去看,你不懂外语无异于跟很多真知灼见擦肩而过。经常听到有人愤愤不平,文科与理科的文章没有可比性,理科动辄就是 SCI ,文科哪有这些?文科的确没有 SCI ,但外国一样有文科,外国人一样研究文学、哲学、经济学,为什么理工科可以在国外发表文章,文科就一定不能呢?是学科的差别还是别的什么?在外语中,英语是使用最为广泛的一种语言,所以说做科研需要懂英语也没有错,你与其花大力气学一种并非广泛使用的语言,不如学英语。当然,如果你有语言天赋,能精通多国语言,那是最好不过的事情。 话说回头,中国的外语教育与其他很多教育一样令人无可奈何,首先是,中国从不把语言当做一种技能来培养,所以大家学了多年的外语依然是“聋子”、“哑巴”,需要在洋鬼子们的熏陶下重新学习。其次,中国的外语与奥数一样成了全民运动,不管你未来的目标是什么,四级过不了关别想顺利走出大学的校门。而中国的外语考试也与众不同,往往是把外语当作“学问”来考,不是我危言耸听,即使你喝过多年的洋墨水,未必能过得了中国的外语考试关。据说有一所外国语大学的英语系系主任考 WSK (外语水平考试)居然不及格。不知道用 WSK 考洋鬼子结果如何? 总而言之,外语有必要学,但对大多数不以对外交流为目的的人而言,应适可而止。外语的考试方式似乎也有改革的必要,特别是不应让外语成为阻拦研究人员对外交流与学习的障碍,而应让它成为对外交流的翅膀。
2011 IEEE International Conference on Waste Recycling, Ecology and Environment ( 2011 ICWREE ) 2011 IEEE 废物资源化与生态环境国际会议 October 15-22th, 2011, Mianyang, Sichuan, China www.wree.swust.edu.cn Call for papers The 2011 IEEE International Conference on waste recycling, ecology and environment (2011 ICWREE) will be held from October 15-22th, 2011 in Mianyang, Sichuan, China. ICWREE is jointly organized by the IEEE Beijing section and Southwest University of Science and Technology. Participants are encouraged submitting full papers describing original and unpublished research work. All full papers need to be critical reviewed through usual peer reviewing process by independent referees. All accepted papers will be published by IEEE and indexed by EI compendex . The topics in areas including, but not limited to: Section 1: Mining and mineral wastes (1) Tailing treatment,recycleanddisposal; (2) Processingof low gradeores; (3) Mineral waste composition studies; (4) Mineral dust; (5) Decommissioning of tailings dams; (6) Acidic Mine Drainage (AMD); (7) Contaminated sites; (8) Engineered mine waste cover systems; (9) Monitoring after mine closure. Section 2: Industry waste and material (1) Industrial waste reduction; (2) Industrialwastes recycling; (3) Biomass and resource utilization technology; (4) Environment-friendly material and green building materials; (5) Green Chemical Process and Clean Production Technology; (6) Materials Physics and Materials Chemistry; (7) Nano Biochemistry and Nanomaterials. Section 3: Electric and electronic Waste (E-waste) (1) E-waste pollution; (2) E-waste Recycling; (3) Technologies for E-waste treatment and disposal. Section 4: Hazardous wastes handling and dispose (1) Hazardous wastes; (2) Poison fly ash; (3) Medical waste; (4) Nuclear waste dispose and handling; (5) Nuclear wastes solidification and treatment; (6) Radioactive tailing and recycling; (7) Environmental recovering of uranic mine; (8) Environmental behavior of radionuclide; (9) Heavy metal and radionuclide accumulator, excluder and indicator. Section 5: Waste and Environmental Ecology (1) Environmental impacts of waste; (2) Environmentalproblemsofminingand industriallandscape; (3) Emergingtechnologiesforenvironmentalprotection; (4) Geomicrobiology and environment changing; (5) Interaction of pollutants in soil and water; (6) Effects of air pollution on public health; (7) Sources of air pollution; (8) Air pollution prevention and control; (9) Urban/indoor air pollution and control; (10) Global climate change and air pollution; (11) Persistent Organic Pollutants (POPs); (12) Chemical pollutants and effects on health; (13) Tailing and waste disposal in chemically stable and environmentally innocuous way; (14) Heavy metals in soil, sediment and groundwater; (15) Biological processing for wastes; (16) Disposal of biologically degradable wastes; (17) Biomarkers for environmental contamination; (18) Microbial functions for degradation of pollutants; (19) Biological effects of heavy metals and radiation; (20) Ecotoxicology of emerging chemical pollutants; (21) Ecotoxicology of waste sediments and groundwater; (22) Restoration of degraded ecosystem; (23) Bioremediation and environmental biotechnology; (24) Plant resources for bioremediation. Section 6: Water pollution treatment and control (1) Domestic sewage treatment technology; (2) Industrial waste water treatment technology; (3) New technologies for waste water treatment; (4) Control technologies for plane source water pollution; (5) Technologies for monitoring water quality; (6) Modeling and measuring of water pollution; (7) Water purification and water supply process; (8) Biological treatment of waste water. Section 7: Waste and sustainable resource management (1) Sustainable resource management; (2) Sustainable development for mineral industry-national perspectives; (3) Corporate social responsibility; (4) Mine closure risks liability; (5) Risk estimation of polluted site; (6) Waste management policies and strategies; (7) Waste and recycling legislation; (8) Waste recycling and circular economy ; (9)Education and training for waste management;(10) environmental management and planning. 2011 ICWREE Paper Submission and Publication: All submitted papers should be written in English and not exceed 4 pages . All the Papers (in PDF format) should be submitted by conference online system. All accepted papers will be published by IEEE and indexed by EI compendex . The paper submission system: www.wree.swust.edu.cn Conference information For details of conference information please visit conference website: www.wree.swust.edu.cn IEEE Linkage: http://www.ieee.org/conferences_events/conferences/conferencedetails/index.html?Conf_ID=18809 Registration Fee Registration Fee Author (Student )* 2200 RMB (400 USD) Regular author* 2600RMB(460 USD) Listener: 1300RMB (200 USD) Invited Speaker Free Additional Paper(s) 1900 RMB(300 USD) Additional Page (Up to 4 extra pages) 450 RMB(70 USD)/One Page *The registration fee for early registration will be 20% reduced. One regular registration can cover a paper within 4 pages, including all figures, tables, and references. Important dates Deadline for abstract submission: June 1, 2011 Deadline for early bird registration: July 1, 2011 Deadline for Full Paper submission: July 15, 2011 Acceptance notification: August 31, 2011 Deadline for registration: September 30, 2011
今天在网上检索一些有关Ontology方面的文献,查到一则国际会议通知( http://icbo.buffalo.edu ) 通知上的最后一段话令人印象深刻! Participation in this meeting by women, minorities, and persons with disabilities is strongly encouraged.
请进 http://icbo.buffalo.edu/ International Conference on Biomedical Ontology University at Buffalo, NY · July 26-30, 2011 O ntologies are being used in an ever increasing variety of ways by researchers in almost every life science discipline, and their use in annotation of both clinical and experimental data is now a common technique in integrative translational research. Principles-based ontologies are being developed for the description of biological and biomedical phenomena of almost every type. To be maximally effective, such ontologies must work well together. But as ontologies become more commonly used and as their breadth of coverage increases, the problems involved in achieving coordination in ontology development become ever more urgent. The ICBO series was initiated in 2009 to address these problems by providing an overarching forum with the goal of bringing together representatives of all major communities involved in the development and application of ontologies in biomedicine and related areas.
2011年6月8-10日将在澳门举办International Conference of System Science and Engineering, icsse2011,这个会议EI检索速度很快,一些优秀论文将出版在SCI检索期刊上,会议网址为: http://www.fst.umac.mo/icsse2011/ 。投稿截止日期为2011年3月1日,澳门也是旅游的好选择,借此会议,各位同仁可以到澳门旅游观光。据我了解在澳门旅游费用并不高,只要你不参与赌博就可以了。
Dear Colleagues, The International Scientific Committee and the Local Organizing Committee are pleased to invite you to participate in the XXX International Conference on Phenomena in Ionized Gases (ICPIG), which will be held in Belfast, Northern Ireland, on August 28th September 2nd 2011 . The XXX ICPIG will cover a wide range of fundamental and applied aspects of ionized gases. This conference emphasises interdisciplinary research and fosters exchange between different communities. All interested participants are kindly invited to present the latest results of their scientific work in one of the ICPIG subject areas. The first announcement is attached and more details can be found on the regularly updated website: http://www.qub.ac.uk/sites/icpig2011/ We wish you enjoyable Christmas holidays and a happy new year, Timo Gans on behalf of the LOC. ----------------------------------------------------------------------------------- 30. International Conference on Phenomena in Ionized Gases ICPIG Belfast 2011 Queen's University Belfast, Northern Ireland, UK 28. August - 2. September 2011 http://www.qub.ac.uk/icpig2011 icpig2011@qub.ac.uk Local Organising Committee Chair: Timo Gans Queen's University Belfast, Northern Ireland, UK International Scientific Committee Chair: Ursel Fantz Max-Planck-Institut fuer Plasmaphysik, Garching, Germany Secretary: Nicholas Braithwaite The Open University, Milton Keynes, UK Topics A. Fundamentals 1) Elementary processes and fundamental data Reaction paths, plasma chemistry, cross sections, swarm studies and data 2) Thermodynamics and transport phenomena Distribution functions, kinetic theory, transport models, dissipation, heating mechanisms 3) Plasma wall interactions, electrode and surface effects Secondary emission, wall erosion, surface reactions, edge plasmas in fusion devices 4) Collective and Nonlinear Phenomena Boundary sheaths, flows, waves, shocks, instabilities, self-organization, chaos, plasma-beam interaction, magnetized plasmas. B. Modelling, Simulation, and Diagnostics 5) Modeling and simulation techniques Analytical techniques, numerical methods, codes, visualization tools 6) Plasma diagnostic methods Optical, electrical, particle and laser-assisted diagnostics. Other plasma diagnostics methods C. Plasma Sources and Discharge Regimes 7) Astrophysical, geophysical and other natural plasmas Cosmic plasmas, interstellar nebula, magnetospheres, ionospheres, lightning, sprites 8) Low pressure plasmas DC glows, magnetrons, pseudo-sparks, edge-physics of fusion devices 9) High frequency discharges Radio-frequency and microwave driven discharges 10) Non-equilibrium plasmas and microplasmas at high pressures Breakdown, streamers, sparks, coronas, surface discharges, dielectric barrier discharges, high pressure glows, microplasmas 11) Thermal plasmas Arcs, thermo-ionic arcs, thermal plasma torches 12) Complex and dusty plasmas, ion-ion plasmas Particle dynamics, plasma crystals, structure formation, negative ion dominated plasmas D. Applications 13) Plasma processing of surfaces and particles Plasma etching and deposition, surface activation, thin film technology, generation, coating and deposition of nano-particles 14) High pressure and thermal plasma processing Torches, plasma synthesis, combustion, plasma spraying, pollution control 15) Plasma lamps and radiation sources Low and high pressure lamps, flat-panel plasma displays, X-ray sources, medical imaging, resistive plate detectors, gaseous photomultipliers. 16) Medical, biological, environmental and aeronautical applications Plasma sterilization, bio compatible coatings, diffusion barriers, plasma actuators and igniters 17) Plasma power and pulsed power technology, particle sources MHD and other plasma generators, circuit breakers, plasma switches, high power electron beams, Hall thrusters, Z Pinch, Theta pinch, and Plasma Focus apparatus
近一年几乎每周邮箱里都有国际会议的Call for paper,因为出国开会实在太过奢侈,所以一般只能关注在国内举办的国际会议。最近的感觉是在国内举办的国际会议真是多如牛毛,观察了一下,这些国际会议主要有三个特点:一个是名目繁多,有很多名词组合,例如计算机与材料、环境与材料、能源与环境、生物和环境等等,让很多研究者看着好像和自己的研究领域都有些沾边,但是实际参加后,发现在一个分会场真正的小同行甚至同行都很少;另一个特点是注册费涨的赛房价,以前一千左右的注册费现在都是2500左右,翻了一番,菜买不起了,会也开不起了,难怪基金委要提高资助额度呢;还有一个特点是这些会议好多貌似是不审稿的,几乎是百发百中,虽然邮件说是经过专家评审您的论文被录用了,但是没有审稿意见,而且看最后出的论文集很多论文质量真的不敢恭维,语法错误连篇。 刚才看了最近收到的几个国际会议信息,所有的会议都声称被EI收录,而且几乎一半是IEEE的会议,IEEE涉猎的范围真是广泛,从计算机到机械和材料,从能源到环境无所不包,以前觉得IEEE的会议规格是挺高的,突然之间怎么就平民化了呢,EI的门槛也是越来越低了。 学生们投会议论文的热情是很高的,因为不但很容易发表还能被EI检索,而且还能公费旅游。以前我们实验室导师是很鼓励学生参加会议的,毕竟学术交流是做研究必不可少的一部分,但是现在看来交流的目的未必达到,倒是被高昂参会费用压的有点不能承受。感觉上国际会议是越来越平民化了,但是却越来越失去会议的意义了。
会议名称 :2011年“超网络与系统管理国际学术会议” 时间地点 :2011年5月29日至5月30日;上海。 会议宗旨 :会议为超网络与系统管理研究领域的专家和学者提供一个平台,以交流与研讨该领域的理论、方法与应用的最新成果。 出版 检索 :论文被IEEE出版,被EI与ISTP收录。优秀论文推荐至International Journal of Mobile Communications(SSCI、EI双检索期刊)、International Journal of Electronic Finance 以及 Electronic Government : an International Journal 三个期刊。 主办方 :上海理工大学超网络研究中心(中国)、上海市(第三期)重点学科“管理科学与工程”、上海理工大学管理学院、美国马萨诸塞大学伊森伯格管理学院超网络研究中心、美国纽约州立大学奥斯维格分校商学院 征文范围: l 超网络理论与方法 l 超网络在交通、物流、供应链、金融等领域的应用 l 系统建模与仿真 l 复杂系统分析 l 运筹学及其应用 l 智能交通控制与管理技术 l 管理信息系统与决策支持系统 l 物流管理与供应链管理 l 工业工程 l 信息管理与电子商务 l 金融工程 l 区域规划 l 其他管理领域相关主题 重要时间节点: 投稿截止日期:2011年1月5日 返回录用结果:2011年3月1日 会议官网 : bs.usst.edu.cn/icssm 注册费: 类型I:投稿并注册(包括论文出版检索和会务费),大陆2000元;海外(含港澳台)400美元。 类型II:不投稿仅参会(只含会务费),大陆600元,海外(含港澳台)150美元。 会议名誉主席: 汪应洛(中国工程院院士) Anna Nagurney (the John F. Smith Memorial Professor, University of Massachusetts Amherst ) 会 议 主 席: Prof. June Dong(董琼)(State University of New York at Oswego,上海理工大学超网络研究中心(中国)) 徐福缘教授(上海理工大学) 特邀报告人: Prof. Anna Nagurney等国际、国内超网络和管理科学领域若干著名科学家。 更多详细内容请登录会议网站: http:// bs.usst.edu.cn/icssm
International Workshop on Statistical Physics and Mathematics for Complex System Oct.23-27, 2010, CCNU, Wuhan Aims and scope Scientific program committee Organizing committee Invited speakers Conference fees Important dates Submission of manuscript Proceedings Transport information Registration Participants Program Contact us Complexity Science Center Institution of Particle Physics, Huazhong (Central China) Normal University, Wuhan 430079, China Contact Us: liw@phy.ccnu.edu.cn or dengwb@phy.ccnu.edu.cn Aims and scope Although the problem of understanding of the second law of thermodynamics for irreversible and nonequilibrium processes is a bit old and has spilt a lot of ink over 150 years, it is likely that statistical physics and thermodynamics are currently undergoing a special period of development. Proof of this: the debates, questions and plenty of new ideas around the most fundamental concepts such as entropy, information, fluctuation, source of randomness, probability, foundation of statistical mechanics and its kinship with thermodynamics and so on. Among the open questions, many touch the statistical mechanics and thermodynamics approach to complex systems such as the social, economic, biological systems and networks. As far as this tendency is concerned, one should not forget other physical systems such as finite size system, long range interacting systems and the systems far from equilibrium with large fluctuation and deviation behaviors. The main aim of the second SPMCS international workshop is to bring together senior and junior scientists as well as PhD students from all over the world to make a state of the art, to exchange recent results and different points of view about the questions in the vanguard of statistical physics, thermodynamics and mathematics for complex systems. More specifically, the topics of interest touch but are not limited to the followings: - Complex systems (networks, social systems, biological systems) - Finite size and nonextensive system (nanomaterials, quantum dots, atomic cluster, molecular engines, etc.) - Fluctuation theorems and equalities, superstatistics, quantum thermodynamics - Fractal geometry, fractional derivative We hope that this second SPMCS exchange will be helpful and instructive for the participants, especially for the junior scientists and students to get wider and deeper visions and to develop their own new ideas around this mysterious, philosophy laden, fascinating, and extremely useful bridge of understanding over the abyss between the macro and micro physics. All interested scientists and students are welcome to this meeting Complexity Science Center Institution of Particle Physics, Huazhong (Central China) Normal University, Wuhan 430079, China Scientific program committee Chairs: Q.A. Wang, Xu Cai and Alain Le Mhaut Abe Sumiyoshi (Japan) Allahverdyan Armen (Armenia) Badiali Jean-Pierre (France) Beck Christian (UK) Cai Xu (China) Chen Jincan (China) Courbage Maurice (France) Duplantier Bernard (France) Gell-Mann Murray (USA) Haubold Hans (Austria) Kaniadakis Giorgio (Italy) Le Mhaut Alain (France) Li Wei (China) Mathai A.M. (India) Quarati Piero (Italy) Rapisarda Andrea (Italy) Robledo Alberto (Mexico) Tayurskii Dmitrii (Russia) Thurner Stefan (Austria) Tirnakli Ugur (Turkey) Vakarin Eduard (France) Wang Qiuping A. (France) Organizing committee Co-Chairman: Li Wei, Wang Qiuping A. Members: Abe Sumiyoshi Beck Christian Cai Xu Chen Jincan Haubold Hans Kaniadakis Giorgio Robledo Alberto Tirnakli Ugur Invited speakers Abe Sumiyoshi ( Japan ) Allahverdyan Armen ( Armenia ) Balian Roger ( France ) Bao Jingdong ( China ) Bentes Sonia ( Portugal ) Bi Qiao (China) Chen Chi-Ming (Taiwan) Chen Jincan (China) Chen Xiaosong (China) Christian Beck (UK) Ciliberto Sergio (France) Du Jiulin (China) Duplantier Bernard (France) El Kaabouchi Aziz (France) Esposito Massimiliano (Belgium) Haubold Hans (Austria) Jorge Kurchan (France) Kaniadakis Giorgio (Italy) Kinouchi Osame (Brazil) L. Enkhtur (Mongolia) Liaw Sy-Sang (Taiwan) Lai Choy Heng (Singapore) Le Mhaut Alain (France) Li Wei (China) Liu Zonghua (China) Long Guilu (China) Martyushev Leonid (Russia) Nieuwenhuizen T.M. (Netherlands) Ou Congjie (China) Qing Hong (China) Quarati Piero (Italy) Rapisarda Andrea (Italy) Robledo Alberto (Mexico) Su Guozhen (China) Tayurskii Dmitrii (Russia) Thurner Stefan (Austria) Tirnakli Ugur (Turkey) Tkachenko Luda (Russia) Touchette Hugo (UK) Vakarin Eduard (France) Vandenbroeck Christian. ( Belgium ) Wang Binghong ( China ) Wang Qiuping A ( France ) Zhang Yang ( USA ) Zaripov ( Russia ) Proceedings The proceedings of the present workshop will be published by the International Journal of Modern Physics. The technical details concerning the preparation of the manuscript will be given to the participants a bit later. Second SPMCS Workshop Program October 22nd (Friday) to October 27th (Wednesday) 2010 October 22nd, Friday, Arrival and Registration of Participants (East International Hotel) October 23rd, Saturday (Conference Room 9-409 of Building No. 9) 9:00-9:15 Welcome address from the conference Chairmen: Q.A. Wang and Xu Cai Session I Chair: Q.A. Wang Time Name Affiliation Presentation Title 9:15 Prof. Alain Le Mhaut ISMANS, France French roadmap for the science of complex systems 10:00 Photography and Coffee break Session II Chair: Binghong Wang 10:40 Prof. Sumiyoshi Abe Department of Physical Engineering, Mie University , Japan Universalities of earthquake-nework characteristics 11:20 Prof. Yang Zhang Center for Computational Medicine Bioinformatics, University of Michigan Protein structure and function predictions 12:30 Lunch Session III Chair: Christian Beck Time Name Affiliation Presentation Title 14:00 Prof. Zbigniew Struzik University of Tokyo Is Our Life Complex? 14:40 Prof. Chiming Chen National Taiwan Normal Univ. Computational Studies of Complex Systems 15:20 Coffee break Session IV Chair: Xu Cai Time Name Affiliation Presentation Title 16:00 Prof. Zonghua Liu East China Normal University Amplification of signal response in scale-free networks 16:40 Prof. Sysang Liaw National Chung Hsing University Characterizing sleep stages by the fractal dimensions of electroencephalograms 19:00 Dinner (Reception) October 24th, Sunday (Conference Room 9-409 of Building No. 9) Session I Chair: Wei Li Time Name Affiliation Presentation Title 09:00 Prof. Binghong Wang University of Science and Technology of China Universal role of migration in promotion of cooperation 09:30 Prof. Rui Menezes ISCTE-IUL and UNIDE-ISCTE Long-run co-movements under globalization in the stock market: evidenced from the G7 10:00 Prof. Guilu Long Department of Physics, Tsinghua University , China Simulation of Open Quantum Systems in a Duality Quantum Computer 10:30 Coffee break Session II Chair: Zbigniew Struzik Time Name Affiliation Presentation Title 11:00 Prof. Christian Beck School of Mathematical Sciences, Queen Mary, University of London Generalized statistical mechanics methods for complex systems 11:30 Prof. Qiao Bi School of Science , Wuhan University of Technology T.B.A 12:00 Prof. Wei Li Max-Planck-Institute for Mathematics in the Sciences Randomness and Heterogeneity in an Evolutionary Game 12:30 Lunch Session III Chair: Sumiyoshi Abe Time Name Affiliation Presentation Title 14:00 Prof. Qiuping A. Wang ISMANS, France No Poincare recurrence in random dynamics? 14:30 Prof. Alberto Robledo Instituto de Fisica, Universidad Nacional Autonoma de Mexico Statistical-mechanical structure beneath the laws of Zipf and Benford Session IV Chair: Chiming Chen 15:00 Prof. Dmitrii Tayurskii Kazan Federa University , Russia Quantum fluids in nanoporous media-effects of the confinement and fractal geometry 15:30 Prof. Jiulin Du Tianjin University , China On power-law q-distribution functions based on the probabilistically independent postulate 16:00 Coffee break Round-table Session V Chair: Binghong Wang 16:40 18:30 Free Topics (Science Hall of CCNU Room 301) 19:00 Dinner October 25th , Monday (Conference Room 9-409 of Building No. 9) Session I Chair: Dmitrii Tayurskii Time Name Affiliation Presentation Title 09:00 Prof. Aziz El Kaabouchi ISMANS, France A mathematical study of the path probability of Brownian motion with Gaussian noises 09:30 Prof. Xiaosong Chen Institute of Theoretical Physics, Chinese Academy of Science T.B.A 10:00 Jiao Gu Max-Planck-Institute for Mathematics in the Sciences Modeling the Epidemic Spreading with White Gaussian Noise 10:30 Coffee break Session II Chair: Alberto Robledo Time Name Affiliation Presentation Title 11:00 Dr. Congjie Ou College of Information Science and Engineering, Huaqiao University , China Generalized entropies under different probability normalizations 11:30 Dr. Ru Wang ISMANS, France College of Information Science and Engineering, Huaqiao University , China Investigation of a nonadditivity for nonextensive system 12:00 Dr. Jian Jiang ISMANS, France Application of Varentropy as a Measure of Probabilistic Uncertainty for Complex Network 12:30 Lunch Round-table Session III Chair: Christian Beck 14:00 Free Topics (Science Hall of CCNU Room 301) 16:00 Coffee break Round-table Session IV Chair: Sumiyoshi Abe 16:30-18:30 Free Topics (Science Hall of CCNU Room 301) 19:00 Gala dinner October 26th, Tuesday 9:00 AM, Departure for Wuhan tours October 27th, Wednesday Departure of participants Registration The registration desks will be opened at East International hotel on Oct. 22nd. The registration desk will be also opened from Oct. 23rd to Oct. 25th throughout the workshop at the Conference Room 9-409 of Building No. 9, Hua-Zhong (Central China) Normal University . Conference Site The oral report sessions from Oct. 23rd to Oct. 25th will be held at the Conference Room 9-409 of Building No.9, and the round table sessions during Oct. 24th and Oct. 25th will be held at Conference Room 301 of the Science Hall, Hua-Zhong (Central China) Normal University , Wuhan , 430079 China . There will be shuttle service at 8:00 AM every morning on Oct. 23rd, 24th and 25th from the East International Hotel to the conference site. Hotel information East International Hotel No. 19, Southern Donghu Road , Wuhan , China Tel: 027-59718888 http://www.east-hotel.com.cn/zh-CN/introduce.html
城市地质国际学术研讨会在沪成功举办 2010年10月18日~21日,城市地质国际学术研讨会在上海召开。会议由中国地质调查局与国际地质科学联合会联合主办,上海市规划和国土资源管理局协办,中国地质调查局南京地质调查中心、上海市地质调查研究院、上海市地矿工程勘察院承办。国土资源部副部长兼中国地质调查局局长汪民、上海市人民政府副市长沈骏和国际地质科学联合会前主席张宏仁等领导出席开幕式并讲话,上海市规划和国土资源管理局局长冯经明致欢迎辞。会议由中国地质调查局李金发副局长主持。张宗祜院士、王思敬院士及来自英国、德国、俄罗斯、挪威、新西兰、巴西、新加坡、日本、印度等国家的专家学者出席了会议。参加同时举办的全国城市地质工作会议的全国各省(区、市)国土资源厅有关领导、地质环境监测站与地质调查研究院等单位的负责同志观摩了会议。本次研讨会的主题是城市地质与城市可持续发展,与中国2010年上海世博会主题城市,让生活更美好互为呼应,充分体现城市地质保障城市发展的重要作用。国内多家新闻媒体给予了报导。 19日上午开幕式后共安排了5个主题报告;19日下午和20日上午共设3个分会场,安排学术报告45个;20日下午参观考察了上海市地质调查研究院为主研发的上海城市地质数据中心三维城市地质信息系统演示,之后参观了上海城市规划展示馆;21日全天安排游览上海世博会园区。19日晚为招待晚宴,20日晚安排游船游览黄浦江两岸夜景。 城市地质国际学术研讨会会议材料包括: (1)城市地质国际学术研讨会论文摘要汇编(中英文、65篇) (2)城市地质国际学术研讨会报告ppt汇编(中英文、48份、彩印) (3)《上海城市地质》专著,魏子新、翟刚毅、严学新等编著,地质出版社,2010年9月出版 (4)《上海城市地质图集》,魏子新、翟刚毅、严学新等编著,地质出版社,2010年9月出版 (5)上海市三维城市地质调查项目成果与应用(宣传图册) (6)上海市三维城市地质调查项目成果与应用(DVD光碟) 会场设置了50余块展版,全面反映了我国先期开展城市地质工作的六个试点城市(上海、北京、天津、南京、杭州、广州)所取得的成果。 国土资源部副部长兼中国地质调查局局长汪民在开幕式上讲话 上海市人民政府副市长沈骏在开幕式上讲话 国际地质科学联合会前主席张宏仁在开幕式上致辞 上海市规划和国土资源管理局局长冯经明致欢迎辞 城市地质国际学术研讨会会场 中国地质调查局基础部主任庄育勋教授作主题报告,国土资源部总工程师张洪涛教授、挪威地质调查局Rolf Tore Ottesen教授共同主持。 城市地质国际学术研讨会展版设置 城市地质国际学术研讨会展版设置 《城市地质国际学术研讨会论文摘要汇编》 目录 Theory and progress of urban geology 城市地质理论与研究进展 China 's urban geological work-protection of urban sustainable development (Zhuang Yu-Xun,Cheng Guang-Hua,Zhai Gang-Yi) 保障城市可持续发展的中国城市地质工作 (庄育勋,程光华,翟刚毅) 1 The status and development drend of contemporary urban geological survey (Du Zi-Tu, Zhai Gang-Yi, Cheng Guang-Hua, Hu Jian-Min, etc) 当代城市地质调查现状与发展趋势(杜子图,翟刚毅,程光华等) 10 Study on Eco-city construction and development strategy of urban geological work in coastal regions (Zheng Xian-Xin, Zhao Tuan-Zh, Zhang Hong-Huai, Hou Yan-Sheng) 沿海地区生态城市建设及城市地质工作发展战略研究(郑铣鑫,赵团芝,张弘怀 ) 15 Urban geological survey and urban security: a case study of Nanjing (Li Jun-Hu, Yang Xian-Zhong, Yang Zhu-Liang, etc) 城市地质调查与城市安全:以南京为例(李君浒,杨献忠,杨祝良等) 18 Hangzhou land use suitability evaluation (Zhang Li-Qin, Li Jiang-Feng, Chen Zhong-Da, etc) 杭州市土地利用适应性评价研究(张丽琴,李江风,陈忠大等) 20 The geological environmental conditions appraisal and underground space resource regionalization of Guangzhou urban underground space utilization (Zhang Su-Jun) 广州城市地下空间利用的地质环境评价与地下空间资源利用区划(张素君) 22 An environmental geochemistry survey of Hangzhou city and the potential hazard of heavy metal in urban environment for human health (Ling Qi-Cong ) 杭州城市环境地球化学环境评价及潜在生态效应研究(凌其聪) 23 Tianjin geothermal resources and strategy of sustainable development (Chen Wan-Qing, Liu Jiu-Long) 天津地热资源及其可持续开发利用对策(程万庆) 29 Foundation comprehensive quality evaluation method research (Sun Zhen-Yin) 地基综合质量评价方法探讨(孙振营) 30 The Three-dimensional characteristics of the geological structure in Hangzhou, China (Hu Gen-Xing) 杭州城市三维地质结构特征分析(胡根兴) 31 Research of underground space cadastral management and investigation key technologies (Wang-Rui) 地下空间权籍管理与调查关键技术研究(王瑞) 32 Study of Geological environment Function Zoning Case of Nanjing (Su Jing-Wen, Jiang Yue-Hua, Li Yun-Feng) 地质环境功能区划研究以南京市为例(苏晶文,姜月华,李云峰) 34 The characteristics and significances of three-dimensional geologic structure of the quaternary system in Haerbin (Qi Fu-li , Zhang Feng-long , Zhang Yu-Min,ect) 哈尔滨地区第四系三维地质结构特征及其环境意义(祁福利,张峰龙,张玉敏等) 36 Children, soils, and health (Rolf tore Ottesen) 儿童,土壤和健康(RolftoreOttesen) 39 Results and applications of urban geology 城市地质成果与应用 3D urban geology for planning and development in the UK (H.J. Reeves, S. Price, C.D. Campbell, H. Kessler, J. Ford K. Royse) 面向英国规划和发展的三维城市地质 ( H.J. Reeves, S. Price, C.D. Campbell, H. Kessler, J. Ford K. Royse ) 41 The sustainable development and utilization of groundwater resource in Beijing (Ye Chao, Shao Jing-Li, Xie Zhen-Hua) 北京地下水资源开发利用(叶超,邵景力,谢振华) 42 Application of urban geological production to urban plan in Shanghai (Shi Yu-Jin) 上海城市地质调查成果在城市规划中的应用(史玉金) 46 Key contents and methods, and achievement application of urban geological survey in Guangzhou (Huang Yu-Hui, Zhuang Wen-Ming, Zhang Xian-He) 广州城市地质调查主要内容、方法与成果应用(黄宇辉,庄文明,张献河) 49 A study on active faults and crustal stability in Tianjin (Zhang Yong-Yi) 天津活动断裂与地壳稳定性研究 50 The distribution and characteristic of the soft soils and the engineering construction problems in Guangzhou (Shang Jian-Lin) 广州软土分布和特性及其工程建设问题(商建林) 50 Monitoring and control of land subsidence in Beijing (Jia San-Man, Guo Meng, Tian Fang) 北京地面沉降监测与控制(贾三满,郭萌,田芳) 53 Effect of land subsidence on planning construction of lingang industrial district in Tianjin and the countermeasures (Lv Xiao-Wen, Bai Jin-Wu) 地面沉降对天津临港工业区规划建设的影响及对策(吕潇文,白晋妩) 56 Analysis on characteristics and causes of karst collapse in Guangzhou, a case study of datansha island (Chen Xiao-Yun, Qi Ming-Jing) 广州市岩溶塌陷特征与成因分析以大坦沙岛为例(陈小云,祁明静) 60 Evalluation of heavy metal pollution and its eco-effect to Hangzhou city, China (Peng Zhen-Yu, Ling Qi-Cong, Chen Zhong-Da) 杭州城市环境重金属污染评价及其生态效应探讨(彭振宇,陈忠大,凌其聪) 63 Soil environmental quality survey and assessment of agricultural development zone in Guangzhou (You Yuan-Hang, Zhu Xin, Liu Zi-Ning) 广州市农业发展区土壤环境质量调查评价(游远航,朱鑫,刘子宁等) 64 Environmental survey of consumption residues treatment site in Beijing (Wang Ling-Hong) 北京城市生活垃圾处置现状及选址地质环境调查(王翊虹) 66 Geological conditions of and water pollution research a landfill (Liu Qian-Hong) 某垃圾填埋场地质环境条件与水污染研究(刘千红) 67 The urban geological survey provides resource environment of security to the Harbin (Zhang Bin) 城市地质调查为哈尔滨市提供资源环境安全保障(张斌) 70 Try to discuss deep fault type and regional crustal stability in Harbin (Yang Xiang-Kui, Zhang Yu-Min, Chu Yu) 试论哈尔滨地区深断裂带类型与区域地壳稳定性(杨湘奎,张玉敏,初禹) 73 Urban geological survey of central Henan urban agglomeration (Zhang Liang, Yan Zhen-Peng, Jiao Hong-Jun, ect) 河南省中原城市群城市地质调查(张良,闫震鹏,焦红军等) 76 Technical methods for urban geological survey 城市地质调查技术方法 Contents and methods of China's urban geological investigation (Zhai Gang-Yi, Cheng Guang-Hua, Hu Jian-Min) 中国城市地质调查内容与方法(翟刚毅,程光华,胡健民) 79 Balance approach to the assessment of the environmental and geological Sstatus of areasuban,by the example of St. Petersburg region (Dr. Ivan Alexandrovich Serebritsky) 通过圣彼得堡地区事例评估城市地区环境和地质状态的均衡法 ( Ivan Alexandrovich Serebritsky ) 86 New earth observation technique for ground motion monitoring in urban areas experiences and initiatives (Dr. Friedrich Kuehn) 面向城市地区地表运动监测的地球观测新方法经验与方案 ( Friedrich Kuehn 博士 ) 87 Quaternary geological features of Beijing piedmont plain using 3-D structural method (Cai Xiang-Min,Guo Gao-Xuan,Luan Ying-Bo, Liang Yan-An, etc) 北京山前平原区第四系三维结构调查方法研究(蔡向民,郭高轩,栾英波等) 90 Urban geological survey in estuarine plain areas (Wang Qing-Hua, Chen Zhong-Da, Wang Jian-Bo) 杭州河口平原区城市地质调查(汪庆华,陈忠大,王剑波) 93 Work system of urban geological survey (Zhuang Wen-Ming) 城市地质调查工作体系(庄文明) 94 High-resolution stratigraphic correlation and geological mapping methods in the Quaternary heavycover area of the Hangjiahu plain (Gu Ming-Guang, Gong Ri-Xiang, Wang Qing-Hua) 杭嘉湖平原第四系厚覆盖区高精度地层对比及填图方法研究(顾明光,龚日祥,汪庆华) 97 Geophysical exploration methods on loose bed of geological survey in Pearl River delta (Fan Yun-Ling ) 珠江三角洲松散层地质调查物探方法的研究及应用(范运岭) 99 Urban environmental geochemical survey and application in Guangzhou (Zhu Xin) 广州城市环境地球化学调查、评价与应用(朱鑫) 101 The working methods and new consciousness about Quaternary strata in Wuhan (Tian Wang-Xue) 武汉地区第四纪地层工作方法及新认识(田望学) 104 Geological survey of quaternary structure in inland valley basin: a case study in Nanjing (Yang Zhu-Liang, Hu Cun-Li) 内陆盆地第四系地质结构调查:以南京市为例(杨祝良,胡存礼) 106 The methodology of environmental geochemistry survey and evaluation on Hangzhou city, China (Ling Qi-Cong, Peng Zhen-Yu, Chen Zhong-Da) 杭州城市环境地球化学调查与评价方法(凌其聪,彭振宇,陈忠大) 108 Geophysical methods applied in urban geological survey (Hu Ping, Luo Shui-Yu, Mi Hong-Ze) 城市地质调查物探方法技术应用研究(胡平,罗水余,米宏泽) 110 The geophysical survey of landfills in city (Yang Jin, Liu Zhao-Ping, Luo Shui-Yu) 城市垃圾填埋场地球物理调查(杨进,刘兆平,罗水余等) 116 Application of ground penetrating radar in Songshuling landfill site, Huzhou to investigate pollutant leakage (Jiang Yue-Hua, Zhou Quan-Ping, Zhou Xun, Jia Jun-Yuan, etc) 地质雷达在湖州松鼠岭垃圾填埋场渗漏污染中的应用(姜月华,周权平,周迅等) 118 Monitoring of and researches on the inhomogeneous ground subsidence in Hexi New City, Nanjing (Yang Zhu-Liang, Zhang Tao, Li Yong-Quan) 南京河西新城地面不均匀沉降监测与研究(杨祝良等) 120 Study of karst geological survey and evaluation methods in Hangzhou (Liang He) 杭州市岩溶调查与评价方法(梁河) 121 Investigation evaluation of emergency water source for Hangzhou City (Mao Han-Chuan) 杭州城市应急水源地调查与评价(毛汉川) 122 Geophysical exploration methods of karst collapse survey in Guangzhou (Fan Yun-ling) 广州市岩溶塌陷调查物探方法(范运岭) 123 Survey methods of active faults in the shallow covered regions in the Pear River Delta (Zhuang Wen- Ming, Lin Xiao-Ming, Fan Yun-Ling,etc) 珠江三角洲浅覆盖区活动断层调查方法(庄文明,林小明,范运岭等) 124 Studies on fault activity and regional stability in Guangzhou (Lin Xiao-Ming, Chen Guo-Neng, Zhuang Wen-Ming) 广州地区断裂活动性与区域稳定性研究(林小明,陈国能,庄文明) 126 Research on geophysical methods for 3-D geological structure survey of loose bed in Hangzhou (Zhang Liang-Hong, Hu Ning) 杭州地区松散层三维地质结构调查物探方法探讨(张良红,胡宁) 129 Urban geological survey in small-medium cities (Zhang Da-Zheng) 中小城市地质调查内容与技术方法(张达政) 130 A new source for seismic survey in urban districts (Yuan Ming-De, Laurel Technologies) 城区地震调查的新震源(袁明德) 130 Urban geological information systems and social services 城市地质信息系统与社会化服务 Research on Shanghai urban geology and its social service mechanism (Wei Zi-Xin) 上海城市地质及其社会服务机制探讨(魏子新) 131 Urban geological mapping in New Zealand: Integrating new acquisition, data management and visualisation tools (Dr. Mark Rattenbury) 新西兰城市地质填图:数据获取、数据管理和可视化工具 133 3D urban geological information processing and sharing service platform (Liu Xiu-Guo) 三维城市地质信息处理与共享服务平台(刘修国) 134 Urban geological information service (Liu Xu-Qing, Pan Mao) 城市地质信息服务(刘学清,潘懋) 139 Soil gas method as a proxy to detect active faults and a geological mapping tool (Sandeep Mahajan) 土壤气法探测活性断层及地质填图工具 ( Sandeep Mahajan ) 140 Hangzhou urban geological information management and service system (Fu Jun-he, Zhou Xia, Fu Long) 杭州市城市三维地质信息管理与服务系统的构建(傅俊鹤,邹霞,傅龙) 143 A 3D geological model construction and smoothing algorithm based on virtual drilling (Zhou Liangchen, Wang Dan,Li Anbo, etc) 基于虚拟钻孔的滤波算法及三维地质模型的构建(周良辰,李安波等) 146 Gis-Based fuzzy evaluation modeling for underground space resource suitability analysis (Wang Yong-Li) 基于GIS_Fuzzy评估模型的地下空间资源适宜性分析(王永立) 148 Several modeling technology practice in three-dimensional model construction in Guangzhou City (Li Wen-Sheng, Chen Hao-Quan) 多种建模技术在广州城市三维模型构建中的实践(李文胜,陈浩权) 154 The 3D visualization technology in Guangzhou urban geological structure model construction (Li Wen-Sheng, Chen Hao-Quan) 广州城市地质结构模型构建中的三维可视化技术(李文胜,陈浩权) 155 Based on data-driven concept of urban geological evaluation model (Chen Zhong-Liang,Le Yan-Li) 基于数据驱动的城市地质评价模型构想(陈忠良,乐艳莉) 156 《城市地质国际学术研讨会报告 PPT 汇编》 目录 Theory and progress of urban geology 城市地质理论与研究进展 China 's urban geological work-protection of urban sustainable development (Zhuang Yu-Xun,Cheng Guang-Hua,Zhai Gang-Yi) 保障城市可持续发展的中国城市地质工作 (庄育勋,程光华,翟刚毅) 1 Hangzhou land use suitability evaluation (Zhang Li-Qin, Li Jiang-Feng, Chen Zhong-Da, etc) 杭州市土地利用适应性评价研究(张丽琴,李江风,陈忠大等) 7 The geological environmental conditions appraisal and underground space resource regionalization of Guangzhou urban underground space utilization (Zhang Su-Jun) 广州城市地下空间利用的地质环境评价与地下空间资源利用区划(张素君) 12 Study of Geological environment Function Zoning Case of Nanjing (Su Jing-Wen, Jiang Yue-Hua, Li Yun-Feng) 地质环境功能区划研究以南京市为例(苏晶文,姜月华,李云峰) 17 Evaluation of ECG and it's application in Shanghai (Wang Han-Mei) 上海市地下水环境容量评价及其应用(王寒梅) 20 Children, soils, and health (Rolf tore Ottesen) 儿童,土壤和健康 ( Rolf tore Ottesen ) 28 Study on Eco-city construct ion an d development strategy of urban geological work in coastal regions (Zheng Xian-Xin, Zhao Tuan-Zh, Zhang Hong-Huai, Hou Yan-Sheng) 沿海地区生态城市建设及城市地质工作发展战略研究(郑铣鑫,赵团芝,张弘怀) 34 Foundation Comprehensive Quality Evaluation Method Research (Sun Zhen-Yin) 地基综合质量评价方法探讨(孙振营) 39 Results and applications of urban geology 城市地质成果与应用 Geological Atlas of St. Petersburg the first example of geological atlas for urban area in Russia (Nikolay Philippov) 圣彼得堡地质图集-俄罗斯城市地区首例地质图集 ( Nikolay Philippov ) 44 The sustainable development and utilization of groundwater resource in Beijing (Ye Chao, Shao Jing-Li, Xie Zhen-Hua) 北京地下水资源开发利用(叶超,邵景力,谢振华) 50 Application of urban geological production to urban plan in Shanghai (Shi Yu-Jin) 上海城市地质调查成果在城市规划中的应用(史玉金) 59 Urban geological survey in estuarine plain areas (Wang Qing-Hua, Chen Zhong-Da, Wang Jian-Bo) 杭州河口平原区城市地质调查(汪庆华,陈忠大,王剑波) 62 Urban geological survey in near-shore area of Tianjin municipality (Li Ji-Jun) 天津滨海城市地质调查(李继军) 67 The distribution and characteristic of the soft soils and the engineering construction problems in Guangzhou (Shang Jian-Lin) 广州软土分布和特性及其工程建设问题(商建林) 74 Monitoring and control of land subsidence in Beijing (Jia San-Man, Guo Meng, Tian Fang) 北京地面沉降监测与控制(贾三满,郭萌,田芳) 76 Effect of land subsidence on planning construction of lingang industrial district in Tianjin and the countermeasures (Lv Xiao-Wen, Bai Jin-Wu) 地面沉降对天津临港工业区规划建设的影响及对策(吕潇文,白晋妩) 83 Analysis on characteristics and causes of karst collapse in Guangzhou, a case study of datansha island (Chen Xiao-Yun, Qi Ming-Jing) 广州市岩溶塌陷特征与成因分析以大坦沙岛为例(陈小云,祁明静) 88 Soil environmental quality survey and assessment of agricultural development zone in Guangzhou (You Yuan-Hang, Zhu Xin, Liu Zi-Ning) 广州市农业发展区土壤环境质量调查评价(游远航,朱鑫,刘子宁等) 91 Environmental survey of consumption residues treatment site in Beijing (Wang Ling-Hong) 北京城市生活垃圾处置现状及选址地质环境调查(王翊虹) 93 Geological conditions of and water pollution research a landfill (Liu Qian-Hong) 某垃圾填埋场地质环境条件与水污染研究(刘千红) 109 The urban geological survey provides resource environment of security to the Harbin (Zhang Bin) 城市地质调查为哈尔滨市提供资源环境安全保障(张斌) 114 Effect of engineering construction on spring water in Jinan city (Li Zhuang) 济南工程建设对泉水影响研究(李壮) 117 Technical methods for urban geological survey 城市地质调查技术方法 Contents and methods of China's urban geological investigation (Zhai Gang-Yi, Cheng Guang-Hua, Hu Jian-Min) 中国城市地质调查内容与方法(翟刚毅,程光华,胡健民) 127 New earth observation technique for ground motion monitoring in urban areas experiences and initiatives (Dr. Friedrich Kuehn) 面向城市地区地表运动监测的地球观测新方法经验与方案 ( Friedrich Kuehn 博士) 138 The geological survey of BRAZIL (Agamenon S.L. Dantas) 巴西地质调查 ( Agamenon S.L. Dantas ) 141 High-resolution stratigraphic correlation and geological mapping methods in the Quaternary heavycover area of the Hangjiahu plain (Gu Ming-Guang, Gong Ri-Xiang, Wang Qing-Hua) 杭嘉湖平原第四系厚覆盖区高精度地层对比及填图方法研究(顾明光,龚日祥,汪庆华) 146 Geological survey of quaternary structure in inland valley basin: a case study in Nanjing (Yang Zhu- Liang, Hu Cun-Li) 内陆盆地第四系地质结构调查:以南京市为例(杨祝良,胡存礼) 154 The methodology of Environmental Geochemistry Survey and evaluation on Hangzhou city, China (Ling Qi-Cong, Peng Zhen-Yu, Chen Zhong-Da) 杭州城市环境地球化学调查与评价方法(凌其聪,彭振宇,陈忠大) 160 Urban environmental geochemical survey and application in Guangzhou (Zhu Xin) 广州城市环境地球化学调查、评价与应用(朱鑫) 162 Geophysical methods applied in Urban Geological Survey (Hu Ping, Luo Shui-Yu, Mi Hong-Ze) 城市地质调查物探方法技术应用研究(胡平,罗水余,米宏泽) 164 High-resolution geophysical technology: applied to karst collapse survey of Pearl River delta (Fan Yun-Ling) 珠江三角洲岩溶塌陷调查物探方法及高精度地震勘探技术研究(范运岭) 168 The geophysical survey of landfills in city (Yang Jin, Liu Zhao-Ping, Luo Shui-Yu) 城市垃圾填埋场地球物理调查(杨进,刘兆平,罗水余等) 180 Study of karst geological survey and evaluation methods in Hangzhou (Liang He) 杭州市岩溶调查与评价方法(梁河) 198 Studies on fault activity and regional stability in Guangzhou (Lin Xiao-Ming, Chen Guo-Neng, Zhuang Wen-Ming) 广州地区断裂活动性与区域稳定性研究(林小明,陈国能,庄文明) 202 Weathered bedrock geo-structural survey method in South China (Wang Liang-Kui) 珠江三角洲风化基岩地质结构调查方法(王良奎) 206 Investigation evaluation of emergency water source for Hangzhou City (Mao Han-Chuan) 杭州城市应急水源地调查与评价(毛汉川) 211 The working methods and new consciousness about Quaternary strata in Wuhan (Tian Wang-Xue) 武汉地区第四纪地层工作方法及新认识(田望学) 217 A new source for seismic survey in urban districts (Yuan Ming-De) 城区地震调查的新震源(袁明德) 226 Urban geological information systems and social services 城市地质信息系统与社会化服务 Research on Shanghai urban geology and its social service mechanism (Wei Zi-Xin) 上海城市地质及其社会服务机制探讨(魏子新) 231 Urban geological mapping in New Zealand: Integrating new acquisition, data management and visualisation tools (Dr. Mark Rattenbury) 新西兰城市地质填图:数据获取、数据管理和可视化工具 240 3D urban geological information processing and sharing service platform (Liu Xiu-Guo) 三维城市地质信息处理与共享服务平台(刘修国) 244 3-D geological modeling: application in Guangzhou urban geological survey (Guangzhou Institute of Geological Survey) 三维地质建模在广州城市地质调查项目中的应用(广州地调院) 252 Construction of Beijing urban geological information management and service system (Liu Xu-Qing Pan Mao) 北京城市地质信息管理服务系统建设(刘学清,潘懋) 216 Geological data clustering and its application in Shanghai (Liu Ying) 上海地质资料信息集群化建设与应用实践(刘映) 272 Regional land subsidence model and its application (Wu Ji-Chun) 区域地面沉降模型及其应用(吴吉春) 276 Suitable evaluation of land use for urban and rural construction based on multiple geological elements (Li An-Bo) 基于多地质要素的城乡建设用地适宜性评价定(李安波) 283 Gis-Based fuzzy evaluation modeling for underground space resource suitability analysis (Wang Yong-Li) 基于GIS_Fuzzy评估模型的地下空间资源适宜性分析(王永立 ) 287 Balance approach to the assessment of the environmental and geological status of urban areas, by the example of St. Petersburg region 城市地区环境和地质现状评估的平衡方法,以圣彼得斯堡地区为例 291
鉴于投入了相当大的人力和财力参加the 6th International Phosphorus Workshop,组里专门开会对研讨会进行了回顾(reflection)。 副导B. Uln自第一届起就开始参加该会,至今已有近20年的时间。由她首先回顾了对比了几届大会内容的变化以及国际研究方向的转变。 之后大家就参会感受进行了交流。 Finally, a summary was formulated.
由于工作需要,我们西安交通大学的能动学院增补了部分国际学术会议名录,虽然不在西安交大,但是与大家共享。 能源与动力工程学院申请增补高水平国际学术会议名录 序号 会议名称 中文名称 周期 1 AIChE Annual Meeting 美国化学工程学会年会 每一年一次 2 Symposium on ThermophysicalProperties 国际热物性会议 每三年一次 3 ISMTMF- International Symposium on Measurement Techniques for Multiphase Flows ISMTMF 国际多相流测量技术会议 每一年一次 4 ISMF- International Symposium on Multiphase Flow, Heat Mass Transfer and Energy Conversition ISMF 国际多相流传热与能源转换会议 5 International Symposium on Coal Combustion 煤燃烧国际会议 每四年一次 6 International Solar Energy Conference 国际太阳能大会 7 International Gas Turbine Congress IGTC 国际燃气轮机大会 每四年一次 8 International Conference on Enhanced, Compact and Ultra-Compact Heat Exchangers: Science, Engineering and Technology 强化、紧凑、超紧凑换热器国际会议:科学、工程及技术 每两年一次 9 ICONE-International Conference on Nuclear Engineering 核工程国际会议 每一年一次 10 Dubrovnik conference on Sustainable Development of Energy, Water andEnvironment systems 杜勃罗文克能源、水和环境系统可持续发展会议 每一年一次 11 Carbon Mitigation Initiative Review meeting CMI 二氧化碳减排年会 普林斯顿大学 12 ASME TURBO EXPO: Power for Land, Sea and Air ASME 国际燃气轮机技术博览大会 每一年一次 13 ASME International Gas Turbine Aeroengine Technical Congress ASME 国际燃气轮机和航空发动机技术大会 每一年一次 14 ASME Fluids Engineering Division Summer Meeting 美国机械工程学会流体工程年会 每一年一次 15 International Symposium on the Science and Technology of Light Sources 国际光源科学与技术研讨会 每三年一次 16 ISTM 国际测控技术研讨会 每二年一次 17 International Symposium on Supercritical Fluid Technology for Energy and Environment Applications Super Green International Organizing Committee (IOC) founded since 2001, in USA 每一年一次 18 ISCRE - International Symposium on Chemical Reaction Engineering 国际化学反应工程会议 每两年一次 19 IIR-Gustav Lorentzen Conference on Natural Working Fluids 国际自然工质大会 每二年一次 20 International Refrigeration Conference at Purdue 普渡国际制冷会议 每二年一次 21 IMRET - International Conference on Microreaction Technology 微反应技术国际会议 每两年一次 22 USASC 美国 ASC 大会 23 The international symposium on Cavitation 国际空化会议 每四年一次 24 Process System Engineering Conference 过程系统工程会议 25 IUTAM 国际理论力学与应用力学会议 26 International Thermal Conference ITCC 国际导热系数会议 27 International Symposium on Transport Phenomena 国际传递现象会议 每一年一次 28 International Symposium on Air Breathing Engines ISABE 国际吸气式发动机大会 每两年一次 29 International conference on Sustainable Energy Technologies 国际可持续能源技术会议 每一年一次 30 International Conference on Structural Mechanics in Reactor Technology 国际反应堆结构力学会议 每两年一次 31 International conference on power engineering ICOPE 国际动力工程年会 每两年一次 32 International Conference on Porous Media and Its Applications in Science, Engineering and Industry 多孔介质及其在科学、工程及技术中的应用国际会议 每四年一次 33 International Conference on Nanochannels, Microchannels and Minichannels 纳 / 微 / 细通道国际会议 每两年一次 34 International Conference on Microchannels and Minichannels 国际微 / 细槽道会议 每一年一次 35 International Conference on Heat Exchanger Fouling and Cleaning 换热器污垢及清洗国际会议 每两年一次 36 International Conference on Fluidized bed combustion FBC 国际流化床燃烧会议 每三年一次 37 International Conference on Coal Science 国际煤科学大会 每两年一次 38 International Conference on Applications of Porous Media 多孔介质应用国际会议 每两年一次 39 International Conference by Society for Modeling and Simulation 国际仿真与建模会议 每一年一次 40 IAHR Symposium on Hydraulic Machinery and Cavitation 国际水力学会水力机械及空化学术会议 每两年一次 41 European Conference on Thermal Sciences 欧洲热科学会议 每三年一次 42 Engineering Conference International-Heat Transfer and Fluid Flow in Microscale 国际微尺度传热与流动会议 每一年一次 43 ENERGEX 全球能源大会 每两年一次 44 Conference of thepumping system and pump machinery 泵系统和泵装置会议 每三年一次 45 Asia-Pacific International Symposium on Combustion and Energy Utilization 亚太地区国际燃烧与能源利用会议 每两年一次 46 Asian Symposium on FluidMachinery 亚洲流体机械会议 每四年一次 47 ( US ) National Heat Transfer Conference 美国传热会议 每一年一次 上报单位:能源与动力工程学院 二 O O 七年四月十五日