CMP设计分享 http://blog.sciencenet.cn/u/accsys 没有逆向思维就没有科技原创。 不自信是科技创新的大敌。

博文

限位数揭开了机器数值运算的全部秘密

已有 2109 次阅读 2016-12-7 03:39 |个人分类:教学点滴|系统分类:科研笔记|关键词:学者| 限位数, 非数值计算

人们时常不能理解,为什么机器能够替代人做算术运算?一般的回答是,因为机器可以表示二进制数。小数点和正负号机器也能表示吗?回答是不能直接表示,可以隐含小数点,通过限位数大小来判断正负数。数值运算机器只做加法,其它的数值运算都是通过加法实现的。超长的数用限位数能够分段计算。

本人近期又发现限位数可以实现事物因素分析的算计,可直接用到数字电路化简,基因计算,复杂组成分析,决策博弈等。限位数用到这些非数值运算型的问题的算计当中,可以保证在实际操作之前就能够确定成功与失败。

二进制限位数的特点,为非数值计算由计算机实现提供了基本方法。



https://m.sciencenet.cn/blog-340399-1019169.html

上一篇:外国人扯淡中国的科学界就信,可悲呀!
下一篇:子句消去法求解SAT问题思想方法如此简单

0

该博文允许注册用户评论 请点击登录 评论 (0 个评论)

数据加载中...
扫一扫,分享此博文

Archiver|手机版|科学网 ( 京ICP备07017567号-12 )

GMT+8, 2024-4-27 15:31

Powered by ScienceNet.cn

Copyright © 2007- 中国科学报社

返回顶部