YucongDuan的个人博客分享 http://blog.sciencenet.cn/u/YucongDuan

博文

第2届世界人工意识大会热身-媒体与顶刊速递系列:面向DIKWP人工意识的计算机体系结构与芯片设计(更新)

已有 355 次阅读 2024-4-27 08:42 |系统分类:论文交流

2届世界人工意识大会热身-媒体与顶刊速递系列

The 2nd World Conference on Artificial Consciousness

第二届世界人工意识大会(DIKWP-AC 2024)

Artificial Consciousness: The Confluence of Intelligence and Consciousness in the Interdisciplinary Domain

 

 

 

Computer Architecture and Chip Design for DIKWP Artificial Consciousness

 

面向DIKWP人工意识的计算机体系结构与芯片设计

Kunguang Wu, Yucong Duan, Liang Chen, Yingbo Li, QiQi

摘要

人工智能系统往往伴随着风险,例如不可控性和缺乏可解释性。为了应对这些风险,我们需要开发可解释的、可信的、负责任的、思维和行为一致的人工智能系统,我们称之为人工意识( Artificial Consciousness,AC )系统。因此,我们基于DIKWP模型中的基本的数据、信息、知识、智慧和意图以及概念空间、认知空间和语义空间的理念,提出并定义了DIKWP下的计算机体系结构、芯片、运行时环境和DIKWP 语言的概念和实现。此外,在构建AC系统时,我们克服了传统编程语言、计算机架构和软硬件实现的限制。我们提出的软硬件集成平台将使基于DIKWP理论的软件系统构建、开发和操作更加便捷。

一、引言

尽管在计算机科学和人工智能 ( AI ) 研究和应用领域取得了重大进展[ 1 ],但我们无法确定现有AI系统的处理细节,这可能会引入不可预见的风险[ 2 ]。为了构建一个可解释的、可信的、负责任的、言行一致一致的[ 3 ] [ 4 ]人工智能系统,即人工意识 ( artificial consciousness,AC ) 系统。我们结合DIKWP (数据、信息、知识、智慧、意图) [ 5 ] [ 6 ]的概念和世界上第一个语义数学化的AC定义的意识相对论[ 4 ],提出了一个基于AC相关实现的定义此外,我们在DIKWP体系结构中的DIKWP运行环境上定义了DIKWP语言并试图克服在传统计算机架构中实现DIKWP转换的编程复杂性,为构建DIKWP软硬件生态系统奠定基础。基于DIKWP人工意识的计算机体系结构保证了效率和可控性。通过对DIKWP内容进行语义转换[ 7 ],并结合AC建模,保证了AC系统中词言行一致的特性,从而最小化人机交互中的语义损失。

第二节主要回顾了软件硬件化的发展和构建AC系统的必要性。它引入了基于DIKWP模型构建一体化软硬件平台和AC系统的概念,从理论上解决了当前人工智能系统中存在的不足。第三节是问题描述,阐述了在传统编程语言、软件硬件化和计算机架构的局限性之下,实现DIKWP相关功能的复杂性。在第四节中,我们试图将第三节中概述的问题转化为工程问题,并提出一系列解决方案。我们的意图是从理论上解决工程问题。第五节为结论。

二、相关工作A. 软件硬件化

研究人员在软件的硬件化方面取得了重大进展。Ambrogio等人介绍了一种用于模拟AI的芯片[ 8 ],它在提供出色的性能和较低的功耗的同时加速了与语音识别相关的计算。Jes'us等人提出了一种基于FPGA的加速电路[ 9 ],增强了语义树的计算量,显著降低了解析算法的执行时间。Chen等人介绍了一种名为ACCEL的全模拟光电芯片[ 10 ],该芯片能够为视觉任务提供较高的系统计算速度和能量效率。然而,这些芯片目前的制造成本和领域特殊性比较高,限制了从软件到硬件转换的通用性。

B. 计算机体系结构

在计算机体系结构领域,基于冯·诺依曼体系结构构建的系统性能受中央处理器( CPU )和共享内存之间信息交换速度的影响很大[ 11 ]。冯·诺依曼架构中的存储速度受到存储架构、访问策略和材料等因素的制约[ 19 ]。此外,冯·诺依曼架构被设计用于图灵计算,在数值处理方面取得了显著的速度和精度。然而,许多现象本质上是不完整的、不一致的、不精确的和不可表达的,这使得直接使用数值计算来处理这些事物具有挑战性,因此在冯·诺依曼架构的计算机上实现处理非数值任务的自动化是相当困难的。为了克服上述挑战,我们需要改进现有的计算机体系结构或者重新设计计算机体系结构。

 

1- DIKWP -AC 金融系统—处理功能的映射(部分)

 

 

2-一个a + b的函数代码

 

3-自然语言到DIKWP的转换与映射

C. DIKWP-AC

为了构建言行一致的人工智能系统,建立基于人类认知建模和DIKWP基础理论的AC系统势在必行。人类认知建模是研究人类认知过程的一种方法,其目的是解释和预测人类的信息处理、知识获取和决策行为。DIKWP模型通过强调数据、信息、知识、智慧和意图作为实现这一目标的核心要素,提供了一个新颖的视角。Duan等人[ 13 ]利用DIKWP框架,将知识图谱的概念扩展到关联数据图谱、信息图谱、知识图谱、智慧图谱和意图图谱。为解决大规模概念融合、语义表示歧义、语义内容混杂等问题,基于存在计算与推理 ( Existence Computing and Reasoning,EXCR ) [ 14 ]模型,通过跨DIKWP模态融合,建立了"关系定义一切语义"  ( Relationship Defined Everything of Semantics,RDXS ) 的基本语义关系。Duan等人以边缘计算中的安全保护场景为研究案例[ 15 ],通过构建DIKWP框架的元模型,提出了在概念化过程中感知的形式化DIKWP关键元素的语义。Gao等[ 16 ]提出扩展DIKWP的体系结构作为资源表示模型,以构建实体和关系元素的系统化方法,提高了多媒体系统中服务和资源调度的优化。Li等人[ 17 ]在DIKWP模型的基础上扩展了这项工作,在DIKWP框架中引入了意图驱动的差分隐私架构,并将其应用于智能填表[ 18 ]、情感通信[ 19 ]、数字经济[ 20 ]、生物法律诉讼[ 21 ]、气象和抑郁症分析[ 22 ]等领域。关于DIKWP-AC,Duan提出了DIKWP-AC芯片的工作原理[ 24 ],将DIKWP芯片与其他芯片进行了比较,总结了DIKWP-AC芯片的优势[ 25 ]。Lake等人提出了一种研究人类系统泛化能力的新方法,并证明了神经和符号模型在实现人类水平泛化能力方面的潜力[ 26 ]。Duan介绍了DIKWP模型在意识建模和脑机接口 ( BMI ) 处理中的作用[ 28 ]。Wang等人[ 27 ]针对DIKWP - AC系统提出了一种资源调用处理方法,为AC的系统实现指明了方向。总的来说,使用DIKWP 模型有可能克服传统计算机体系结构、硬件和编程语言之间的语义缺陷。

 

4-DIKWP 逻辑架构

 

D. 概念空间

a) 概念空间定义

在图2右侧,概念空间是由一系列相关概念构成的集合,借助特定的属性和关系互相连接,根据概念间关系的对称性对应有向图或无向图。因此概念空间可以使用如下等式进行表示:

 

其中是概念的节点集合,是表示概念之间关系的边集合。

b) 基本属性

在概念空间中,每个概念都具有一组属性和与其他概念的关系

属性:,其中每个的一个属性。

c) 关系

表示概念之间的关系。如果图是有向的,则不等同于;如果图是无向的,则它们表示相同的关系。

d) 操作

概念空间的操作即为在概念空间内对应一系列操作来查询、添加或修改概念及其关系。

查询操作:查询操作是根据查询条件(如特定属性或关系)在概念空间中检索相关概念集合。其主要表达如下:

 

添加操作:我们可以通过下面等式,将新概念添加到概念集合中。

 

修改操作:另外,我们可以通过等式4来维护已有概念的相关属性。

 

E. 认知空间

认知空间(Cognitive Space, ConN)提供了一个框架,用于描述和分析认知过程,即如何将输入数据或信息转换为理解、决策或行动。这一概念在处理数据、信息、知识、智慧和意图(DIKWP)方面尤其重要,因为它揭示了个体或系统如何通过独特的认知处理过程来理解和应对外部世界。下面是对认知空间定义与处理的形式化描述。

a) 认知空间定义

函数集合:其中,每个函数表示一个特定的认知处理过程,是输入空间,是输出空间。

b) 输入和输出空间

输入空间代表感知到的数据或信息的集合,可以是来自外部世界的观察、从其他系统接收的信号或内部生成的数据。

输出空间代表处理后的理解或决策的集合,它可以包括对信息的分类、概念的形成、意图的确定或行动计划的设定。

c) 认知处理过程

每个认知处理函数可以进一步细化为一系列子步骤,包括数据预处理、特征提取、模式识别、逻辑推理和决策制定等。这些子步骤共同构成了从原始数据到最终输出的完整认知路径。

子步骤表示:对于每个,可以表示为

 

其中中的代表第个子步骤的处理函数,代表函数的复合。

DIKWP模型中,认知空间将数据、信息、知识、智慧和意图通过个体或系统独特的认知过程转换为具体的理解和行动。借助调用不同的认知处理函数,系统可以针对不同类型的输入实施最适宜的处理策略,实现高效精确的决策。

F. 语义空间

语义空间是由一系列语义单元构成的集合,这些单元借助特定的关联和依赖关系相互连接,共同构成了信息和知识的客观化表示。语义空间普遍接受的概念和语言规则实现了意义的传递和交流。

a) 定义

我们使用图表示:

 

其中代表语义单元(词汇、句子等),代表语义单元之间的关联和依赖关系。

b) 语义单元与关系

在语义空间对应一系列操作来查询、添加或修改语义单元及其关系。

查询操作:,根据查询条件返回满足条件的语义单元集合。

添加操作:,将新的语义单元添加到集合中。

修改操作:,更新或添加语义单元之间的关系

c) 操作与应用

根据语义空间的相关定义与概念,我们使用内容理解偏差导致的执行不一致”这个问题映射到语义空间进行分析。

我们定义一个语义单元表示理解偏差,它属于法律语义空间:

我们可以通过查询操作来查询执行过程的不一致性单元:

 

其中Q的条件是对法律的理解偏差。

添加操作可以用来完善法律理解的语义空间:

 

其中,表示对法律理解正确的语义单元。

 

另外,还可以通过更新操作来完善语义空间,如等式7所示。这个操作的目的是对于正确的法律理解基础之上,建立对这个法律理解存在的理解偏差新语义单元

 

1-针对DIKWP转换的编程难度评估

 

2-DIKWP 语言中每个元素的表达形式

 

 

3-DIKWP 语言中保留的关键字

三、问题描述A. 情况描述

1) 传统程序设计语言的挑战:借鉴[ 7 ]中提出的在意图驱动条件下对DIKWP 元素进行融合和转换的方法,我们试图将转换和处理过程形式化为一个确定性的程序或算法。在意图驱动下进行编程,并对程序进行相应的执行。在本研究中,我们假设存在一个金融系统,需要在DIKWP 的基础上构建AC系统。如图1所示,风险控制子系统包括5个模块,其功能的执行和处理取决于DIKWP元素之间的转换。从开发人员的角度来看,在这种情况下使用传统的高级编程语言进行编程,且不求助于机器学习算法。在表1中,y轴代表DIKWP 输入的元素,而x轴对应DIKWP转换的目标类型元素。我们定义了一个三元组( PD、CC、IU)来表示在AC系统开发过程中对使用高级编程语言 (如JavaScript) 实现的编程难度 ( Programming Difficulty, PD )、计算复杂度 (Computational Complexity, CC ) 和输入不确定性 ( Input Uncertainty, IU ) 进行评估的结果。三元组的第一个元素代表编程的难易程度,它包括三个评价等级:容易 ( Easy, E )、中等 (Moderate, Mo )、困难 ( Difficult, Di )。三元组的第二个元素表示程序的计算复杂度,分为低 ( Low, L)、中 ( Me )、高 ( H )三类。三元组的第三个元素表示输入DIKWP元素中的一组不确定性,元素具有不完全性 ( Incompleteness, ICP )、不一致性 ( Inconsistency, ICS ) 和不精确性 (Imprecision, IPR ) 的特点。当输入同时具有精确性、完整性和一致性时,第三个元素就是空集。

从表1可以看出,在不依赖机器学习相关算法的情况下,实施金融风险控制子系统是可行的。然而,随着内容抽象层次的提高,编程复杂度和计算复杂度都会增加。不确定性是金融领域的常见问题,需要采取适当的处理方法。在这个过程中,输入和输出的确定性随着抽象层次的增加而降低,计算过程可能需要包含更多的规则和条件。此外,我们观察到当前编程语言在处理不确定输入和处理时的实际困难。具体来说,这些语言缺乏在运行期间适应意图表达的不确定性的能力。如图2所示,加法函数通常局限于阿拉伯数字形式的输入。如果以其他语言或不同的表达方式提供输入,可能会出现错误。为了解决意图表达的变化,需要重新编程和重新编译以执行。在软件层面保证可执行进程的可解释性仅限于用有限的逻辑步骤覆盖输入,只能容纳部分范围的语义表达。一旦输入在语义表示上有了更多的变化,重新编程就成为唯一可行的选择。因此,传统的程序设计语言在处理相同语义的不确定性表达式时面临着巨大的挑战。

2) 软件硬件化的挑战:软件硬件化是一种在硬件层面上实现软件功能或任务的设计方法。在某些特定领域,这种通过电路设计实现的方法,在硬件芯片上重新实现软件功能或任务,以提高执行效率[ 8 ] [ 9 ]。然而,这种方法也并非没有局限性,主要表现在以下几个方面:

硬件复杂度:将软件功能映射到硬件通常需要复杂的硬件设计,包括专门的处理器或电路模块。这将导致硬件复杂度和成本的显著增加。

刚性设计:硬件是静态的,一旦设计完成,就很难进行修改。这导致软件硬件化的灵活性较低,难以适应不断变化的需求或新功能的添加。

制造成本:硬件制造成本相对较高,特别是在小批量生产或者需要多次修改的情况下。这使得硬件设计不适合需要快速迭代和高性价比生产的应用。

适应性:基于硬件的系统难以适应未来的技术变化。随着技术的进步,这些硬件设计可能很快就会过时,而软件往往更容易适应这些变化。

资源限制:硬件资源是有限的,这会对硬件中复杂功能的实现产生限制。

因此,软件的硬件化在特定领域有一定的限制,无法达到与CPU相同的通用性水平。此外,由于软件与传统计算机架构之间存在语义边界,两者之间存在一定的语义缺陷。

3) 传统计算机体系结构面临的挑战:现代计算机遵循的基本体系结构范式是冯·诺依曼体系结构,其特点是能够在单个内存空间中存储程序指令和数据,便于CPU处理。此外,该结构内的数据以二进制形式进行内部表示和处理。然而,这种架构由于严重依赖内存而表现出显著的性能瓶颈,其中CPU和共享内存之间的信息交换速度成为影响系统性能的主要因素[ 11 ]。反过来讲,信息交换速度的提高又受到各种因素的制约,包括记忆元件的速度、记忆性能以及结构方面的考虑。此外,冯·诺依曼结构的计算机被设想用于图灵计算,在数值处理方面达到了显著的速度和精度。然而,它们在非数值处理方面的应用进展却相对缓慢。在现实中,许多现象本质上是模棱两可的,很难精确地用数值计算来表达。此外,事件的发生、演化和结果往往是不可预测的,这使得使用冯·诺依曼计算机架构来自动化处理这类复杂任务变得相当困难。

 

5-DIKWP 计算机体系结构

 

 

6-DIKWP 硬件架构

 

 

算法1- DIKWP 语义安全处理过程

B.  DIKWP在自然语言上的处理

自然语言处理的DIKWP模型首先通过特殊处理将自然语言转化为DIKWP的元素,然后将DIKWP 的元素抽象成图。DIKWP图是在意图驱动下进行处理和变换,并通过形式化表达验证其逻辑[ 2 ]。但是 DIKWP模型在自然语言处理方面存在以下问题。

1) 没有统一的转换范式:在意图驱动的DIKWP内容之间的处理和转换方面已经做了一些工作[ 2 ] [ 27 ]。然而,目前这些工作对于自然语言到DIKWP内容的转换和映射缺乏较为统一的方法或范式,如图3所示。换句话说,我们没有明确的方法和过程来通过有限和确定的步骤来实现这一转变。如果需要构建AC系统,则需要在实施和运行中保证可解释性,否则AC系统将缺乏处理的可解释性。

2) 不能表征语义变化:DIKWP模型的加工过程中,内容和认知之间的相互作用和转换在以往的研究中已经得到了研究[ 5 ] [ 23 ]。但是,它们并没有充分反映加工过程对语义的映射和表征,即在构建了语义空间的映射和表征之后,可以通过观察法观察语义加工前后的变化。因此,在现有的人工智能系统中,当处理内容的过程中出现语义偏差或不一致时,人类无法直接对其进行观察和检测。这导致了处理过程中的不可信,这与AC系统的特性相矛盾。为了建立一个表现出言行一致的人工智能系统,必须生成反映认知和内容之间相互作用导致的语义空间变化的输出。这种在系统处理过程中的透明性对过程的可见性,可信度至关重要。

3) DIKWP模型如何与人类进行交互:构建人工智能系统的目标是为人类服务,并通过各种方式与人类进行交互,如通过自然语言文本、图像、声音等。然而,在DIKWP的处理过程中,所有的事情都是通过图来抽象和处理的[ 2 ] [ 23 ] [ 27 ]。图不是与人类便捷沟通的语义载体,导致DIKWP - AC处理后的输出内容与人类理解之间存在语义鸿沟。由于在DIKWP - AC的输出和通信方面缺乏可靠可信的处理程序和相关研究工作,我们在构建DIKWP - AC系统时缺乏有效的人与人交互的理论支持。因此,我们需要找到一种方法或途径使DIKWP - AC模型与人类进行交互,从而使人类与DIKWP -AC系统更好地相互理解,最终更有效地为人类服务。

 

四、架构设计

为了寻求第三节所述问题的解决方案,我们将转换思路,尝试将学术难题转化为工程问题。在DIKWP 理论模型的基础上,本节介绍了基于DIKWP 的硬件架构、融入软件DIKWP 硬件化的芯片设计以及软硬件兼容的概念,将硬件和软件元素结合在一起,同时强调芯片上的语义安全和DIKWP 语义处理。

A. DIKWP计算机体系结构

本部分试图缓解第三节在工程方面存在的问题,但面临诸多挑战。我们提出了一个基于DIKWP的计算机体系结构和芯片设计的框架。为了缓解DIKWP 模型与人类的交互问题,本部分还提出了一种内部DIKWP语言,该语言依赖于DIKWP运行时环境。DIKWP语言底层基于DIKWP语义编解码器,可将DIKWP语言内容编码为DIKWP硬件指令或对硬件输出进行解码还原,其主要逻辑流程如图4左侧所示。

 

B. DIKWP计算机体系结构

为了解决传统编程语言带来的挑战和困难,我们在DIKWP 模型理论的基础上,提出了一个基于DIKWP的运行时环境的框架模型。该模型涵盖了DIKWP硬件架构下的软件运行环境,并概述了人机交互的一般处理流程。在图4的左侧,用户通过自然语言与DIKWP软件进行交互。DIKWP软件平台反过来使用DIKWP语言解释器对这种自然语言进行处理,将其转换为DIKWP语言。DIKWP语言作为人与计算机之间的桥梁,为人机交互提供了一个相对精确、一致、全面的媒介,从而尽可能地减少这些交互中的语义损失。

为了方便DIKWP语言和DIKWP硬件之间的双向通信,DIKWP运行环境还需要一个DIKWP语义编解码器。该编解码器负责将DIKWP语言转换为DIKWP语义流。语义流分为数据语义流、信息语义流、知识语义流、智慧语义流和意图语义流5种不同的类型,每种类型都有各自的处理方法。对语义流进行接收和处理后,可以在DIKWP语义空间内以语义图的形式进行表示。处理后的语义流遵循DIKWP语义编解码器的操作,表示任何基于意图的操作之前的语义内容,其内部转换过程如下所示:

第一步是接收输入的DIKWP语义流,并将其转化为DIKWP语义图谱,随后通过意图对齐实现修改DIKWP图的语义指向。

然后对转换后的DIKWP语义进行检查,生成满足意图的场景所必需的DIKWP语义内容。

将缺失的DIKWP语义内容作为新的意图处理,提高其优先级,随后将内容进行合并,输出为新的DIKWP语义流。

语义流经过意图驱动处理模块后,包含具有语义倾向性的DIKWP语义内容。然后进入资源分配模块。资源映射模块将DIKWP语义流分配给相应的硬件或处理集群,以保证执行各项任务和功能时的效率。它在DIKWP架构中充当了软件和硬件之间的通信桥梁。

C. DIKWP语言

为了解决使用传统编程语言在处理知识、智慧和意图方面的挑战,我们提出了DIKWP语言。作为特定领域语言( Domain-specific Language, DSL ),它是在DIKWP架构内为人机交互而设计的。DIKWP语言是表达数据、信息、知识、智慧和意图的一种手段,如表2所示。

在表2中,各种元素可以通过DIKWP语言以不同的形式表示。这些表征可以通过书写或转换的过程在计算机内部获得,从而产生形式多样的数据、信息、知识、智慧和意图。

数据:数据是指离散的、语义模糊的内容,如数字、字符或它们的集合。

信息:信息具有一定的语义范围,负责定义和组织数据,使其具有意义。它有两种表现形式:一种是对数据的定义,另一种是通过定义一个函数或类似操作的形式来填充数据或信息。

知识:知识是通过事实、信息和逻辑表达式的组合来表示的。正是逻辑表达式的存在,使得系统能够提取可解释的知识规则,并从知识中形成内容。

智慧:智慧,从根本上说,是信息在特定维度内所表现出来的最高语义价值。例如,在表2中,任务是识别数组" aa "中的最大元素并将其分配给" ArrayMax ",而" where "则表示在特定规则集合中导致这一目标的价值路径。

意图:意图是DIKWP背后的驱动力,在语义上代表意图功能的执行。它既以DIKWP的形式进行输入,又以DIKWP的形式进行输出。

为了保证DIKWP语言在下游任务中能够被解释为相应的指令,除了常规的数学和逻辑算子外,还包括专门的关键字,用于执行和定义语义边界,如表3所示。

D. DIKWP软件硬件化

软件硬件化的主要目标是将某些软件功能的实现转移到硬件上,并集成到芯片上。它是为了更有效地执行重复的、耗时的和不灵活的功能而完成的。DIKWP- AC芯片代表了一种创新的计算机架构,旨在实现有意识的人工智能系统。其核心理念是以处理数据、信息、知识、智慧和意图为中心,旨在模拟人类的认知过程。DIKWP微体系结构如图6所示,本部分将提供不同硬件模块功能的设计与描述。

1). 处理器:DIKWP架构中的微体系结构主要是包含数据、信息、知识、智慧和意图的处理器,但是在处理的功能划分上,由于低级函数的处理相比高级函数的处理更容易实现,因此DIKWP算子中的数据处理器可以抽象为现有的通用处理器,即它们能够在数据上执行的图灵计算。而信息处理器则是可以对特定语义数据流进行的更高层次的操作,如对一般视频流和音频流的编码和解码、复杂的矩阵运算、哈希编码等操作。虽然知识、智慧和意图被处理为高级抽象,但目前基于它们的过程在电路级的实现还需要更深入的研究和实践。

数据处理单元 ( Processing Unit,DPU ):DPU能够对数据进行简单的运算,如算术运算、逻辑运算、位移运算等操作。此外,DPU将输入数据转化为机器理解形式,同时在其中搜索公共语义,将其标准化为单个概念,该过程涉及数据清洗、特征提取和模式识别等技术。

信息处理单元 ( Information Processing Unit, IPU ):IPU提供了比DPU更高层次、更抽象的计算,如编码、解码、压缩等操作,类似于复杂的指令架构。其IPU的职责是对输入的信息进行分类、归类和组织,形成更高层次的理解。

知识处理单元( Knowledge Processing Unit, KPU ):知识处理单元的任务是通过观察、学习和抽象来构建完整的概念或模式。这些知识构成了芯片的认知基础,为更高级的智能和决策提供了支持。但目前,单机版KPU无法独立存在和处理知识内容。KPU需要通过其他层次的内容( DIKWP)来构建对世界的理解和解释。

智慧处理单元( Wisdom Processing Unit, WPU ):智慧处理单元的功能本质是信息,它反映了价值系统的判断结果。WPU将个人、伦理和道德因素纳入决策过程,同时考虑可行性和可持续性等多个方面。然而,当前融合个体认知和客观规律的决策硬件设计还需要在后续研究中进行更深入的研究。

意图处理单元( Purpose Processing Unit, PPU ):PPU提供了一种细粒度的可重构电路框架,允许外部输入的内容从意图的内容中构造出来,并作为相应的电路代码执行。对PPU的输入可以抽象为需要输入的函数结构和相应的参数,处理的结果即为函数执行的输出。

 

7-DIKWP控制单元的有限状态机

 

2). DIKWP控制单元:DIKWP- AC芯片模拟人类认知过程的能力取决于其对DIKWP资源的高效转化和处理。DIKWP控制单元包含对芯片电路控制的逻辑,包括对输入内容的逻辑判断和流程控制。此外,控制单元负责管理来自数据、信息、知识、智慧和意图。DIKWP控制单元的有限状态机( Finite State Machine,FSM )如图7所示,表示以S0 ~ S6表示的输入内容的不同状态。

S0代表语义流的初始输入,表示未处理的资源。

S1状态对应于解析和分配处理单元的状态,类似于CPU中的解码指令。

S2代表不确定性处理的状态,该过程涉及处理DIKWP中数据、信息、知识、智慧或意图的不确定性,旨在最大限度地减少不确定输入条件下实际结果与预期结果之间的差距。

S3是DIKWP的变换处理状态,包括意图驱动的类型内和类型间的转换和补偿。

S4表示语义安全处理的状态,当DIKWP包含安全意图时,语义安全转换操作。转换原则是在不破坏原有语义的前提下,扩大非利益相关方与当前DIKWP之间的语义距离。

S5表示当前阶段结束时的状态,返回控制单元。控制单元评估处理后的内容是否应该输出或继续进行进一步的处理。如果需要进一步处理,则返回S1,重新分配给处理单元;否则,输出处理结果。

S6代表完成状态,结果通过DIKWP控制单元输出。

由于数据流处理的特点,如图7所示,控制单元只能管理处理单元的内部调度,无法处理全局情况。假设我们有两个任务(任务A和任务B),其中任务A的执行时间较长,而任务B的执行时间较短,但取决于任务A的处理结果。这种情况可能会导致任务B的处理单元在一段时间内处于暂停状态。为了缓解这种情况,我们需要一个高效的顶层调度算法,例如乱序执行,以提高处理器的吞吐量。然而,需要进一步的研究来有效地适应优化调度算法。

 

 

8-DIKWP-AC中的不确定性处理

 

 

9-一个警示文本的例子

3). 不确定性处理单元(Uncertainty Processing Unit, UPU ):在图8中,关于不确定性的处理,我们需要根据人类对自然语言内容的反射与处理对其进行建模。我们将人类的语言加工抽象为两个层面,一个是基于条件反射的第二信号系统加工,用图8中的弧线表示,我们将其定义为表层处理,该表层处理我们定义为DIKWP上的认知空间。这种表层加工可以抽象为AC系统在自然语言中对特定语义的直接反映。这些反射是通过后天的训练和交流获得的。

我们将直接反映的过程定义为一个函数,记为,它表示将DIKWP的内容直接映射到认知和语义空间的相应结果:

 

例如在图9中,当人类看到一个"地面湿滑"的警告文字时,他们自然会变得警觉。

另一个因素是,由于输入语言内容是不完整的、不一致的或不精确的,表面上没有形成具体的反射或出现了多重反射,即为一个空集或包含多个DIKWP元素的集:

 

 

根据等式10,我们定义了思考函数,它包含两个加工步骤。第一步是补偿 输出的不确定性,第二步是在意图驱动的影响下,DIKWP元素的融合与转换:

 

例如,人类在面对数学问题时表现出条件反射,脑中自动生成简单的计算方法。然而,更复杂的计算需要更深层次的思考,该过程是在语义空间进行的,经过DIKWP的不确定性处理,将其概念内容映射为认知,在语义空间完成了概念空间到认知空间的转换。通过特定的训练,即使是深层次的思考和推理也可以成为条件反射,例如经过系统的数学训练,乘法表和求导公式成为条件反射,无需进行更深层次的推理和计算。

因此,UPU作为不确定性处理的控制器中心,它负责处理不完整、不一致或不精确的输入,然后利用已有的DIKWP进行演化和推演,最终产生结果。该过程是一个涉及UPU、DIKWP控制单元和DIKWP处理单元的协作过程。

4). 安全控制单元( Security Control Unit,SCU ):SCU主要处理意图影响下的转化过程的输出是否符合其安全意图,其实现旨在防止代码篡改,从而保证计算的安全性、可靠性和高效性。当语义流中存在安全意图时,SCU会对输入的语义流进行扩展。SCU的主要功能是保证数据、信息、知识、智慧和意图的语义安全。它通过建立超越紧密相关要素的关系来实现这一目标。SCU的主要处理过程如算法1所示。当语义流中存在安全意图时,SCU会对输入的语义流进行扩展。SCU通过不确定性处理计算扩展前后DIKWP语义空间的差异,在算法中记为。如果不是空集,则扩展后的DIKWP语义流输出为。例如,在DIKWP语言中,信息表示如下:

 

在等式11中,来源于自然语言语句:"李、王、张是同学,他们在海口会面。"如果这三个人不希望别人知道他们相遇的具体位置,但仍需要在他们的交流中包含语义信息"海口",通过SCU处理后,结果如下所示:

 

其中等式12中海南的语义中包含了海口,但是在他们的认知空间中指代的是在海南,经过SCU的处理之后,他们的主要意图不会被第三方直接了解,扩大了语义空间,使其搜索地点的难度加大。DIKWP -AC平台在一定程度上缓解了使用传统编程语言实现DIKWP所面临的挑战,缩小了人机交互中的认知鸿沟。在未来的工作中,我们将深入研究芯片实现的具体细节,并评估软件平台的适应性。我们提出的DIKWP软硬件集成平台将使DIKWP - AC系统的构建和运行更加方便、可靠和高效。

五、结论

本研究基于DIKWP - AC理论,从硬件和软件两方面分析了当前DIKWP - AC系统实现的制约因素和挑战。因此,我们提出了DIKWP的硬件架构和集成存储、计算和传输的DIKWP芯片。在DIKWP芯片内部,我们介绍了基于DIKWP语义通信的DIKWP处理单元、UPU和SCU的微体系结构。此外,为了增强DIKWP芯片的通用性,我们介绍了DIKWP语言及其相关的运行环境,为DIKWP创建了一个软件和硬件生态系统。DIKWP -AC平台在一定程度上缓解了使用传统编程语言实现DIKWP所面临的挑战,缩小了人机交互中的认知鸿沟。在未来的工作中,我们将深入研究芯片实现的具体细节,并评估软件平台的适应性。我们提出的DIKWP软硬件集成平台将使DIKWP-AC系统的构建和运行更加方便、可靠和高效。

参考文献:

[1] C. Thon, B. Finke, A. Kwade, et al. Artificial intelligence in process engineering. Advanced Intelligent Systems, vol. 3, no. 6, pp. 2000261, 2021.

[2] W. Liang, G. A. Tadesse, D. Ho, et al. Advances, challenges and opportunities in creating data for trustworthy ai. Nature Machine Intelligence, vol. 4, no. 8, pp. 669–677, 2022.

[3] Y. Duan, Beyond Attention: Attention is NOT all you need. September 2023, DOI:10.13140/RG.2.2.10379.05920.

[4] Y. Duan. Relativity of Consciousness and DIKWP. August 2023, DOI:10.13140/RG.2.2.36142.89922.

[5] Y. Duan, L. Shao, G. Hu, et al. Specifying architecture of knowledge graph with data graph, information graph, knowledge graph and wisdom graph. SERA 2017, pp. 327–332, IEEE, 2017.

[6] Y. Duan, Trans-modal, trans-scale, and meso-scale subjective cognitive semantic modeling and analysis for data, information, and knowledge overload, April 2020, DOI:10.13140/RG.2.2.31481.01125.

[7] Y. Huang and Y. Duan. Towards purpose driven content interaction modeling and processing based on dikw. SERVICES 2021, pp. 27–32, IEEE, 2021.

[8] S. Ambrogio, P. Narayanan, A. Okazaki, et al. An analog-ai chip for energyefficient speech recognition and transcription. Nature, vol. 620, no. 7975, pp. 768775, 2023.

[9] J. Barba, M. J. Santofimia, J. Dondo, et al. Fpga acceleration of semantic tree reasoning algorithms. Journal of Systems Architecture, vol. 61, no. 3-4, pp. 185196, 2015.

[10] Y. Chen, M. Nazhamaiti, H. Xu, et al. All-analog photoelectronic chip for highspeed vision tasks. Nature, 2023, DOI:10.1038/s41586-023-06558-8.

[11] H. Thimbleby. Modes, wysiwyg and the von neumann bottleneck. IEE Colloquium on Formal Methods and Human-Computer Interaction: II, pp. 4–1, IET, 1988.

[12] Migliato Marega G, Zhao Y, Avsar A, et al. Logic-in-memory based on an atomically thin semiconductor. Nature, 587(7832): 72-77, 2020.

[13] Y. Duan, L. Shao, and G. Hu. Specifying knowledge graph with data graph, information graph, knowledge graph, and wisdom graph. IJSI 2018, vol. 6, no. 2, pp. 10–25, 2018.

[14] Y. Duan. Existence Computation and Reasoning (EXCR) and Essence Computation and Reasoning (ESCR) based revelation of the semantics of point, line and plane. February 2022, DOI: 10.13140/RG.2.2.32383.89767.

[15] Y. Duan, X. Sun, H. Che, et al. Modeling Data, Information and Knowledge for Security Protection of Hybrid IoT and Edge Resources. IEEE Access, vol. 7, pp. 99161-99176, 2019.

[16] H. Gao, Y. Duan, L. Shao. et al. Transformation-based processing of typed resources for multimedia sources in the IoT environment. Wireless Netw 27, 33773393 2021.

[17] Y. Li, Y. Duan, Z. Maamar, et al. Swarm differential privacy for purpose-driven data-information-knowledge-wisdom architecture. Mobile Information Systems, vol. 2021, pp. 1–15, 2021.

[18] Y. Huang, Y. Duan. Fairness Modelling, Checking and Adjustment for Purpose Driven Content Filling over DIKW. HPCC/DSS/SmartCity/DependSys 2021, pp. 2316-2321, IEEE, 2021.

[19] T. Hu and Y. Duan. Modeling and measuring for emotion communication based on dikw. SERVICES 2021, pp. 21-26, IEEE, 2021.

[20] Y. Duan, V.T. Pham, M. Song, et al. Ultimate of Digital Economy: From Asymmetric Data Economy to Symmetric Knowledge and Wisdom Economy. SoMeT, pp. 85-96, 2023.

[21] Y. Mei, Y. Duan, L. Yu, et al. Purpose Driven Biological Lawsuit Modeling and Analysis Based on DIKWP. CollaborateCom 2022, pp. 250-267, Springer, 2022.

[22] Z. Guo, Y. Duan, L. Chen, et al. Purpose Driven DIKW Modeling and Analysis of Meteorology and Depression. HPCC/DSS/SmartCity/DependSys 2022, pp. 21262133, IEEE, 2022.

[23] Y. Mei, Y. Duan, L. Chen, et al. Purpose Driven Disputation Modeling, Analysis and Resolution Based on DIKWP Graphs. HPCC/DSS/SmartCity/DependSys 2022, pp. 2118-2125, IEEE, 2022.

[24] Y. Duan. The Operating Principles of the DIKWP Artificial Consciousness Chip. September 2023, DOI: 10.13140/RG.2.2.24718.33602.

[25] Y. Duan. DIKWP Chip vs. Pulse Chip vs. Quantum Chip: The Future Path of Artificial Consciousness Computing. September 2023, DOI: 10.13140/RG.2.2.27234.91846.

[26] B.M. Lake, M. Baroni, Human-like systematic generalization through a metalearning neural network. Nature, 2023, DOI: 10.1038/s41586-023-06668-3.

[27] Y. Wang, Y. Duan, M. Wang, et al. Resource Adjustment Processing on the DIKWP Artificial Consciousness Diagnostic System. DIKW 2023, 2023.

[28] Y. Duan. DIKWP-AC Artificial Consciousness: Fusing Physiology and Mathematics. July 2023, DOI:10.13140/RG.2.2.26720.87040.

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

 

翻译:DIKWP团队吴坤光、段玉聪

意图驱动的数据信息知识智慧融合发明创造方法:DIKWP-TRIZ

 

段玉聪教授

DIKWP-AC人工意识(全球)团队发起人

AGI-AIGC-GPT评测DIKWP(全球)实验室创办者

世界人工意识大会发起人ArtificialConsciousness2023,AC2023,AC2024)

国际数据、信息、知识、智慧大会发起人(IEEEDIKW20212022、2023)

斯坦福全球顶尖科学家“终身科学影响力排行榜”(海南信息技术)唯一入选

海南人工智能技术发明领域唯一全国奖(吴文俊人工智能奖)获得者

中国创新方法大赛总决赛(海南代表队)最好记录保持者

海南省发明专利(信息技术领域)授权量最多者

全国企业创新增效大赛海南最好成绩保持者

全国人工智能应用场景创新挑战赛总决赛海南最好成绩保持者

海南唯一入选“首届科技期刊高质量发展大会100篇”

海南省最美科技工作者(并入选全国候选人)

首届中国“AI+”创新创业大赛最佳创意奖



https://m.sciencenet.cn/blog-3429562-1431622.html

上一篇:世界上第一本人工意识写的人工意识入门书《人工意识概论》第十章:神经科学和脑机接口
下一篇:Chip Design for DIKWP Artificial Consciousness

0

该博文允许注册用户评论 请点击登录 评论 (0 个评论)

数据加载中...

Archiver|手机版|科学网 ( 京ICP备07017567号-12 )

GMT+8, 2024-5-9 07:48

Powered by ScienceNet.cn

Copyright © 2007- 中国科学报社

返回顶部